2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.ALL;
6 use unisim.vcomponents.all;
9 generic(jtag_chain: INTEGER);
10 port(capture : out std_logic;
12 reset : out std_logic;
13 runtest : out std_logic;
15 shift : out std_logic;
19 update : out std_logic;
24 architecture behaviour of BSCANE2 is
25 alias j : glob_jtag_t is glob_jtag;
27 sel <= j.sel(jtag_chain);
29 drck <= tck and sel and (capture or shift);
38 end architecture behaviour;