icache_tb: Improve test and include test file
[microwatt.git] / simple_ram_behavioural_helpers.vhdl
1 library ieee;
2 use ieee.std_logic_1164.all;
3
4 package simple_ram_behavioural_helpers is
5 function behavioural_initialize (filename: String; size: integer) return integer;
6 attribute foreign of behavioural_initialize : function is "VHPIDIRECT behavioural_initialize";
7
8 procedure behavioural_read (val: out std_ulogic_vector(63 downto 0); addr: std_ulogic_vector(63 downto 0); length: integer; identifier: integer; reload: integer);
9 attribute foreign of behavioural_read : procedure is "VHPIDIRECT behavioural_read";
10
11 procedure behavioural_write (val: std_ulogic_vector(63 downto 0); addr: std_ulogic_vector(63 downto 0); length: integer; identifier: integer);
12 attribute foreign of behavioural_write : procedure is "VHPIDIRECT behavioural_write";
13 end simple_ram_behavioural_helpers;
14
15 package body simple_ram_behavioural_helpers is
16 function behavioural_initialize (filename: String; size: integer) return integer is
17 begin
18 assert false report "VHPI" severity failure;
19 end behavioural_initialize;
20
21 procedure behavioural_read (val: out std_ulogic_vector(63 downto 0); addr: std_ulogic_vector(63 downto 0); length: integer; identifier: integer; reload: integer) is
22 begin
23 assert false report "VHPI" severity failure;
24 end behavioural_read;
25
26 procedure behavioural_write (val: std_ulogic_vector(63 downto 0); addr: std_ulogic_vector(63 downto 0); length: integer; identifier: integer) is
27 begin
28 assert false report "VHPI" severity failure;
29 end behavioural_write;
30 end simple_ram_behavioural_helpers;