create pack function
[ieee754fpu.git] / src / add / nmigen_add_experiment.py
1 # IEEE Floating Point Adder (Single Precision)
2 # Copyright (C) Jonathan P Dawson 2013
3 # 2013-12-12
4
5 from nmigen import Module, Signal, Cat, Const
6 from nmigen.cli import main, verilog
7
8
9 class FPNum:
10 """ Floating-point Number Class, variable-width TODO (currently 32-bit)
11
12 Contains signals for an incoming copy of the value, decoded into
13 sign / exponent / mantissa.
14 Also contains encoding functions, creation and recognition of
15 zero, NaN and inf (all signed)
16
17 Four extra bits are included in the mantissa: the top bit
18 (m[-1]) is effectively a carry-overflow. The other three are
19 guard (m[2]), round (m[1]), and sticky (m[0])
20 """
21 def __init__(self, width, m_width=None):
22 self.width = width
23 if m_width is None:
24 m_width = width - 5 # mantissa extra bits (top,guard,round)
25 self.v = Signal(width) # Latched copy of value
26 self.m = Signal(m_width) # Mantissa
27 self.e = Signal((10, True)) # Exponent: 10 bits, signed
28 self.s = Signal() # Sign bit
29
30 self.mzero = Const(0, (m_width, False))
31 self.m1s = Const(-1, (m_width, False))
32 self.P128 = Const(128, (10, True))
33 self.P127 = Const(127, (10, True))
34 self.N127 = Const(-127, (10, True))
35 self.N126 = Const(-126, (10, True))
36
37 def decode(self):
38 """ decodes a latched value into sign / exponent / mantissa
39
40 bias is subtracted here, from the exponent. exponent
41 is extended to 10 bits so that subtract 127 is done on
42 a 10-bit number
43 """
44 v = self.v
45 return [self.m.eq(Cat(0, 0, 0, v[0:23])), # mantissa
46 self.e.eq(v[23:31] - self.P127), # exp (minus bias)
47 self.s.eq(v[31]), # sign
48 ]
49
50 def create(self, s, e, m):
51 """ creates a value from sign / exponent / mantissa
52
53 bias is added here, to the exponent
54 """
55 return [
56 self.v[31].eq(s), # sign
57 self.v[23:31].eq(e + self.P127), # exp (add on bias)
58 self.v[0:23].eq(m) # mantissa
59 ]
60
61 def shift_down(self):
62 """ shifts a mantissa down by one. exponent is increased to compensate
63
64 accuracy is lost as a result in the mantissa however there are 3
65 guard bits (the latter of which is the "sticky" bit)
66 """
67 return [self.e.eq(self.e + 1),
68 self.m.eq(Cat(self.m[0] | self.m[1], self.m[2:], 0))
69 ]
70
71 def nan(self, s):
72 return self.create(s, self.P128, 1<<22)
73
74 def inf(self, s):
75 return self.create(s, self.P128, 0)
76
77 def zero(self, s):
78 return self.create(s, self.N127, 0)
79
80 def is_nan(self):
81 return (self.e == self.P128) & (self.m != 0)
82
83 def is_inf(self):
84 return (self.e == self.P128) & (self.m == 0)
85
86 def is_zero(self):
87 return (self.e == self.N127) & (self.m == self.mzero)
88
89 def is_overflowed(self):
90 return (self.e > self.P127)
91
92 def is_denormalised(self):
93 return (self.e == self.N126) & (self.m[23] == 0)
94
95 class FPOp:
96 def __init__(self, width):
97 self.width = width
98
99 self.v = Signal(width)
100 self.stb = Signal()
101 self.ack = Signal()
102
103 def ports(self):
104 return [self.v, self.stb, self.ack]
105
106
107 class Overflow:
108 def __init__(self):
109 self.guard = Signal() # tot[2]
110 self.round_bit = Signal() # tot[1]
111 self.sticky = Signal() # tot[0]
112
113
114 class FPADD:
115 def __init__(self, width):
116 self.width = width
117
118 self.in_a = FPOp(width)
119 self.in_b = FPOp(width)
120 self.out_z = FPOp(width)
121
122 def get_op(self, m, op, v, next_state):
123 with m.If((op.ack) & (op.stb)):
124 m.next = next_state
125 m.d.sync += [
126 v.eq(op.v),
127 op.ack.eq(0)
128 ]
129 with m.Else():
130 m.d.sync += op.ack.eq(1)
131
132 def normalise_1(self, m, z, of, next_state):
133 with m.If((z.m[-1] == 0) & (z.e > z.N126)):
134 m.d.sync +=[
135 z.e.eq(z.e - 1), # DECREASE exponent
136 z.m.eq(z.m << 1), # shift mantissa UP
137 z.m[0].eq(of.guard), # steal guard bit (was tot[2])
138 of.guard.eq(of.round_bit), # steal round_bit (was tot[1])
139 of.round_bit.eq(0), # reset round bit
140 ]
141 with m.Else():
142 m.next = next_state
143
144 def normalise_2(self, m, z, of, next_state):
145 with m.If(z.e < z.N126):
146 m.d.sync +=[
147 z.e.eq(z.e + 1), # INCREASE exponent
148 z.m.eq(z.m >> 1), # shift mantissa DOWN
149 of.guard.eq(z.m[0]),
150 of.round_bit.eq(of.guard),
151 of.sticky.eq(of.sticky | of.round_bit)
152 ]
153 with m.Else():
154 m.next = next_state
155
156 def round(self, m, z, of, next_state):
157 m.next = next_state
158 with m.If(of.guard & (of.round_bit | of.sticky | z.m[0])):
159 m.d.sync += z.m.eq(z.m + 1) # mantissa rounds up
160 with m.If(z.m == z.m1s): # all 1s
161 m.d.sync += z.e.eq(z.e + 1) # exponent rounds up
162
163 def corrections(self, m, z, next_state):
164 m.next = next_state
165 # denormalised, correct exponent to zero
166 with m.If(z.is_denormalised()):
167 m.d.sync += z.m.eq(-127)
168 # FIX SIGN BUG: -a + a = +0.
169 with m.If((z.e == z.N126) & (z.m[0:] == 0)):
170 m.d.sync += z.s.eq(0)
171
172 def pack(self, m, z, next_state):
173 m.next = next_state
174 # if overflow occurs, return inf
175 with m.If(z.is_overflowed()):
176 m.d.sync += z.inf(0)
177 with m.Else():
178 m.d.sync += z.create(z.s, z.e, z.m)
179
180 def get_fragment(self, platform=None):
181 m = Module()
182
183 # Latches
184 a = FPNum(self.width)
185 b = FPNum(self.width)
186 z = FPNum(self.width, 24)
187
188 tot = Signal(28) # sticky/round/guard bits, 23 result, 1 overflow
189
190 of = Overflow()
191
192 with m.FSM() as fsm:
193
194 # ******
195 # gets operand a
196
197 with m.State("get_a"):
198 self.get_op(m, self.in_a, a.v, "get_b")
199
200 # ******
201 # gets operand b
202
203 with m.State("get_b"):
204 self.get_op(m, self.in_b, b.v, "unpack")
205
206 # ******
207 # unpacks operands into sign, mantissa and exponent
208
209 with m.State("unpack"):
210 m.next = "special_cases"
211 m.d.sync += a.decode()
212 m.d.sync += b.decode()
213
214 # ******
215 # special cases: NaNs, infs, zeros, denormalised
216
217 with m.State("special_cases"):
218
219 # if a is NaN or b is NaN return NaN
220 with m.If(a.is_nan() | b.is_nan()):
221 m.next = "put_z"
222 m.d.sync += z.nan(1)
223
224 # if a is inf return inf (or NaN)
225 with m.Elif(a.is_inf()):
226 m.next = "put_z"
227 m.d.sync += z.inf(a.s)
228 # if a is inf and signs don't match return NaN
229 with m.If((b.e == b.P128) & (a.s != b.s)):
230 m.d.sync += z.nan(b.s)
231
232 # if b is inf return inf
233 with m.Elif(b.is_inf()):
234 m.next = "put_z"
235 m.d.sync += z.inf(b.s)
236
237 # if a is zero and b zero return signed-a/b
238 with m.Elif(a.is_zero() & b.is_zero()):
239 m.next = "put_z"
240 m.d.sync += z.create(a.s & b.s, b.e[0:8], b.m[3:-1])
241
242 # if a is zero return b
243 with m.Elif(a.is_zero()):
244 m.next = "put_z"
245 m.d.sync += z.create(b.s, b.e[0:8], b.m[3:-1])
246
247 # if b is zero return a
248 with m.Elif(b.is_zero()):
249 m.next = "put_z"
250 m.d.sync += z.create(a.s, a.e[0:8], a.m[3:-1])
251
252 # Denormalised Number checks
253 with m.Else():
254 m.next = "align"
255 # denormalise a check
256 with m.If(a.e == a.N127):
257 m.d.sync += a.e.eq(-126) # limit a exponent
258 with m.Else():
259 m.d.sync += a.m[-1].eq(1) # set top mantissa bit
260 # denormalise b check
261 with m.If(b.e == a.N127):
262 m.d.sync += b.e.eq(-126) # limit b exponent
263 with m.Else():
264 m.d.sync += b.m[-1].eq(1) # set top mantissa bit
265
266 # ******
267 # align. NOTE: this does *not* do single-cycle multi-shifting,
268 # it *STAYS* in the align state until the exponents match
269
270 with m.State("align"):
271 # exponent of a greater than b: increment b exp, shift b mant
272 with m.If(a.e > b.e):
273 m.d.sync += b.shift_down()
274 # exponent of b greater than a: increment a exp, shift a mant
275 with m.Elif(a.e < b.e):
276 m.d.sync += a.shift_down()
277 # exponents equal: move to next stage.
278 with m.Else():
279 m.next = "add_0"
280
281 # ******
282 # First stage of add. covers same-sign (add) and subtract
283 # special-casing when mantissas are greater or equal, to
284 # give greatest accuracy.
285
286 with m.State("add_0"):
287 m.next = "add_1"
288 m.d.sync += z.e.eq(a.e)
289 # same-sign (both negative or both positive) add mantissas
290 with m.If(a.s == b.s):
291 m.d.sync += [
292 tot.eq(a.m + b.m),
293 z.s.eq(a.s)
294 ]
295 # a mantissa greater than b, use a
296 with m.Elif(a.m >= b.m):
297 m.d.sync += [
298 tot.eq(a.m - b.m),
299 z.s.eq(a.s)
300 ]
301 # b mantissa greater than a, use b
302 with m.Else():
303 m.d.sync += [
304 tot.eq(b.m - a.m),
305 z.s.eq(b.s)
306 ]
307
308 # ******
309 # Second stage of add: preparation for normalisation.
310 # detects when tot sum is too big (tot[27] is kinda a carry bit)
311
312 with m.State("add_1"):
313 m.next = "normalise_1"
314 # tot[27] gets set when the sum overflows. shift result down
315 with m.If(tot[27]):
316 m.d.sync += [
317 z.m.eq(tot[4:28]),
318 of.guard.eq(tot[3]),
319 of.round_bit.eq(tot[2]),
320 of.sticky.eq(tot[1] | tot[0]),
321 z.e.eq(z.e + 1)
322 ]
323 # tot[27] zero case
324 with m.Else():
325 m.d.sync += [
326 z.m.eq(tot[3:27]),
327 of.guard.eq(tot[2]),
328 of.round_bit.eq(tot[1]),
329 of.sticky.eq(tot[0])
330 ]
331
332 # ******
333 # First stage of normalisation.
334 # NOTE: just like "align", this one keeps going round every clock
335 # until the result's exponent is within acceptable "range"
336 # NOTE: the weirdness of reassigning guard and round is due to
337 # the extra mantissa bits coming from tot[0..2]
338
339 with m.State("normalise_1"):
340 self.normalise_1(m, z, of, "normalise_2")
341
342 # ******
343 # Second stage of normalisation.
344 # NOTE: just like "align", this one keeps going round every clock
345 # until the result's exponent is within acceptable "range"
346 # NOTE: the weirdness of reassigning guard and round is due to
347 # the extra mantissa bits coming from tot[0..2]
348
349 with m.State("normalise_2"):
350 self.normalise_2(m, z, of, "round")
351
352 # ******
353 # rounding stage
354
355 with m.State("round"):
356 self.round(m, z, of, "corrections")
357
358 # ******
359 # correction stage
360
361 with m.State("corrections"):
362 self.corrections(m, z, "pack")
363
364 # ******
365 # pack stage
366
367 with m.State("pack"):
368 self.pack(m, z, "put_z")
369
370 # ******
371 # put_z stage
372
373 with m.State("put_z"):
374 m.d.sync += [
375 self.out_z.stb.eq(1),
376 self.out_z.v.eq(z.v)
377 ]
378 with m.If(self.out_z.stb & self.out_z.ack):
379 m.d.sync += self.out_z.stb.eq(0)
380 m.next = "get_a"
381
382 return m
383
384
385 if __name__ == "__main__":
386 alu = FPADD(width=32)
387 main(alu, ports=alu.in_a.ports() + alu.in_b.ports() + alu.out_z.ports())
388
389
390 # works... but don't use, just do "python fname.py convert -t v"
391 #print (verilog.convert(alu, ports=[
392 # ports=alu.in_a.ports() + \
393 # alu.in_b.ports() + \
394 # alu.out_z.ports())