80988608f31c3b27331ff576b4dcf0d36a7c7106
[pinmux.git] / src / bsv / pinmux_generator.py
1 # ================================== Steps to add peripherals ============
2 # Step-1: create interface declaration for the peripheral to be added.
3 # Remember these are interfaces defined for the pinmux and hence
4 # will be opposite to those defined at the peripheral.
5 # For eg. the output TX from the UART will be input (method Action)
6 # for the pinmux.
7 # These changes will have to be done in interface_decl.py
8 # Step-2 define the wires that will be required to transfer data from the
9 # peripheral interface to the IO cell and vice-versa. Create a
10 # mkDWire for each input/output between the peripheral and the
11 # pinmux. Also create an implicit wire of GenericIOType for each cell
12 # that can be connected to a each bit from the peripheral.
13 # These changes will have to be done in wire_def.py
14 # Step-3: create the definitions for each of the methods defined above.
15 # These changes will have to be done in interface_decl.py
16 # ========================================================================
17
18 # default module imports
19 import shutil
20 import os
21 import os.path
22 import time
23
24 # project module imports
25 from bsv.interface_decl import Interfaces, mux_interface, io_interface
26 from parse import Parse
27 from bsv.actual_pinmux import init
28 from bsv.bus_transactors import axi4_lite
29
30 copyright = '''
31 /*
32 This BSV file has been generated by the PinMux tool available at:
33 https://bitbucket.org/casl/pinmux.
34
35 Authors: Neel Gala, Luke
36 Date of generation: ''' + time.strftime("%c") + '''
37 */
38 '''
39 header = copyright + '''
40 package pinmux;
41
42 '''
43 footer = '''
44 endmodule
45 endpackage
46 '''
47
48
49 def pinmuxgen(pth=None, verify=True):
50 """ populating the file with the code
51 """
52
53 p = Parse(pth, verify)
54 iocells = Interfaces()
55 iocells.ifaceadd('io', p.N_IO, io_interface, 0)
56 ifaces = Interfaces(pth)
57 #ifaces.ifaceadd('io', p.N_IO, io_interface, 0)
58 init(p, ifaces)
59
60 bp = 'bsv_src'
61 if pth:
62 bp = os.path.join(pth, bp)
63 if not os.path.exists(bp):
64 os.makedirs(bp)
65 bl = os.path.join(bp, 'bsv_lib')
66 if not os.path.exists(bl):
67 os.makedirs(bl)
68
69 cwd = os.path.split(__file__)[0]
70
71 # copy over template and library files
72 shutil.copyfile(os.path.join(cwd, 'Makefile.template'),
73 os.path.join(bp, 'Makefile'))
74 cwd = os.path.join(cwd, 'bsv_lib')
75 for fname in ['AXI4_Lite_Types.bsv', 'Semi_FIFOF.bsv',
76 'gpio.bsv', 'mux.bsv']:
77 shutil.copyfile(os.path.join(cwd, fname),
78 os.path.join(bl, fname))
79
80 bus = os.path.join(bp, 'busenable.bsv')
81 pmp = os.path.join(bp, 'pinmux.bsv')
82 ptp = os.path.join(bp, 'PinTop.bsv')
83 bvp = os.path.join(bp, 'bus.bsv')
84 idef = os.path.join(bp, 'instance_defines.bsv')
85 slow = os.path.join(bp, 'slow_peripherals.bsv')
86 slowt = os.path.join(cwd, 'slow_peripherals_template.bsv')
87
88 write_pmp(pmp, p, ifaces, iocells)
89 write_ptp(ptp, p, ifaces)
90 write_bvp(bvp, p, ifaces)
91 write_bus(bus, p, ifaces)
92 write_instances(idef, p, ifaces)
93 write_slow(slow, slowt, p, ifaces)
94
95
96 def write_slow(slow, template, p, ifaces):
97 """ write out the slow_peripherals.bsv file.
98 joins all the peripherals together into one AXI Lite interface
99 """
100 with open(template) as bsv_file:
101 template = bsv_file.read()
102 imports = ifaces.slowimport()
103 ifdecl = ifaces.slowifdecl()
104 regdef = ifaces.axi_reg_def()
105 slavedecl = ifaces.axi_slave_idx()
106 with open(slow, "w") as bsv_file:
107 bsv_file.write(template.format(imports, ifdecl, regdef, slavedecl))
108
109
110 def write_bus(bus, p, ifaces):
111 # package and interface declaration followed by
112 # the generic io_cell definition
113 with open(bus, "w") as bsv_file:
114 ifaces.busfmt(bsv_file)
115
116
117 def write_pmp(pmp, p, ifaces, iocells):
118 # package and interface declaration followed by
119 # the generic io_cell definition
120 with open(pmp, "w") as bsv_file:
121 bsv_file.write(header)
122
123 cell_bit_width = 'Bit#(%d)' % p.cell_bitwidth
124 bsv_file.write('''\
125 interface MuxSelectionLines;
126
127 // declare the method which will capture the user pin-mux
128 // selection values.The width of the input is dependent on the number
129 // of muxes happening per IO. For now we have a generalized width
130 // where each IO will have the same number of muxes.''')
131
132 for cell in p.muxed_cells:
133 bsv_file.write(mux_interface.ifacefmt(cell[0], cell_bit_width))
134
135 bsv_file.write("\n endinterface\n")
136
137 bsv_file.write('''
138
139 interface IOCellSide;
140 // declare the interface to the IO cells.
141 // Each IO cell will have 1 input field (output from pin mux)
142 // and an output and out-enable field (input to pinmux)''')
143
144 # == create method definitions for all iocell interfaces ==#
145 iocells.ifacefmt(bsv_file)
146
147 # ===== finish interface definition and start module definition=======
148 bsv_file.write("\n endinterface\n")
149
150 # ===== io cell definition =======
151 bsv_file.write('''
152
153 interface PeripheralSide;
154 // declare the interface to the peripherals
155 // Each peripheral's function will be either an input, output
156 // or be bi-directional. an input field will be an output from the
157 // peripheral and an output field will be an input to the peripheral.
158 // Bi-directional functions also have an output-enable (which
159 // again comes *in* from the peripheral)''')
160 # ==============================================================
161
162 # == create method definitions for all peripheral interfaces ==#
163 ifaces.ifacefmt(bsv_file)
164 bsv_file.write("\n endinterface\n")
165
166 # ===== finish interface definition and start module definition=======
167 bsv_file.write('''
168
169 interface Ifc_pinmux;
170 // this interface controls how each IO cell is routed. setting
171 // any given IO cell's mux control value will result in redirection
172 // of not just the input or output to different peripheral functions
173 // but also the *direction* control - if appropriate - as well.
174 interface MuxSelectionLines mux_lines;
175
176 // this interface contains the inputs, outputs and direction-control
177 // lines for all peripherals. GPIO is considered to also be just
178 // a peripheral because it also has in, out and direction-control.
179 interface PeripheralSide peripheral_side;
180
181 // this interface is to be linked to the individual IO cells.
182 // if looking at a "non-muxed" GPIO design, basically the
183 // IO cell input, output and direction-control wires are cut
184 // (giving six pairs of dangling wires, named left and right)
185 // these iocells are routed in their place on one side ("left")
186 // and the matching *GPIO* peripheral interfaces in/out/dir
187 // connect to the OTHER side ("right"). the result is that
188 // the muxer settings end up controlling the routing of where
189 // the I/O from the IOcell actually goes.
190 interface IOCellSide iocell_side;
191 endinterface
192 (*synthesize*)
193 module mkpinmux(Ifc_pinmux);
194 ''')
195 # ====================================================================
196
197 # ======================= create wire and registers =================#
198 bsv_file.write('''
199 // the followins wires capture the pin-mux selection
200 // values for each mux assigned to a CELL
201 ''')
202 for cell in p.muxed_cells:
203 bsv_file.write(mux_interface.wirefmt(
204 cell[0], cell_bit_width))
205
206 iocells.wirefmt(bsv_file)
207 ifaces.wirefmt(bsv_file)
208
209 bsv_file.write("\n")
210 # ====================================================================
211 # ========================= Actual pinmuxing ========================#
212 bsv_file.write('''
213 /*====== This where the muxing starts for each io-cell======*/
214 Wire#(Bit#(1)) val0<-mkDWire(0); // need a zero
215 ''')
216 bsv_file.write(p.pinmux)
217 bsv_file.write('''
218 /*============================================================*/
219 ''')
220 # ====================================================================
221 # ================= interface definitions for each method =============#
222 bsv_file.write('''
223 interface mux_lines = interface MuxSelectionLines
224 ''')
225 for cell in p.muxed_cells:
226 bsv_file.write(
227 mux_interface.ifacedef(
228 cell[0], cell_bit_width))
229 bsv_file.write("\n endinterface;")
230
231 bsv_file.write('''
232 interface iocell_side = interface IOCellSide
233 ''')
234 iocells.ifacedef(bsv_file)
235 bsv_file.write("\n endinterface;")
236
237 bsv_file.write('''
238 interface peripheral_side = interface PeripheralSide
239 ''')
240 ifaces.ifacedef(bsv_file)
241 bsv_file.write("\n endinterface;")
242
243 bsv_file.write(footer)
244 print("BSV file successfully generated: bsv_src/pinmux.bsv")
245 # ======================================================================
246
247
248 def write_ptp(ptp, p, ifaces):
249 with open(ptp, 'w') as bsv_file:
250 bsv_file.write(copyright + '''
251 package PinTop;
252 import pinmux::*;
253 interface Ifc_PintTop;
254 method ActionValue#(Bool) write(Bit#({0}) addr, Bit#({1}) data);
255 method Tuple2#(Bool,Bit#({1})) read(Bit#({0}) addr);
256 interface PeripheralSide peripheral_side;
257 endinterface
258
259 module mkPinTop(Ifc_PintTop);
260 // instantiate the pin-mux module here
261 Ifc_pinmux pinmux <-mkpinmux;
262
263 // declare the registers which will be used to mux the IOs
264 '''.format(p.ADDR_WIDTH, p.DATA_WIDTH))
265
266 cell_bit_width = str(p.cell_bitwidth)
267 for cell in p.muxed_cells:
268 bsv_file.write('''
269 Reg#(Bit#({0})) rg_muxio_{1} <-mkReg(0);'''.format(
270 cell_bit_width, cell[0]))
271
272 bsv_file.write('''
273 // rule to connect the registers to the selection lines of the
274 // pin-mux module
275 rule connect_selection_registers;''')
276
277 for cell in p.muxed_cells:
278 bsv_file.write('''
279 pinmux.mux_lines.cell{0}_mux(rg_muxio_{0});'''.format(cell[0]))
280
281 bsv_file.write('''
282 endrule
283 // method definitions for the write user interface
284 method ActionValue#(Bool) write(Bit#({2}) addr, Bit#({3}) data);
285 Bool err=False;
286 case (addr[{0}:{1}])'''.format(p.upper_offset, p.lower_offset,
287 p.ADDR_WIDTH, p.DATA_WIDTH))
288 index = 0
289 for cell in p.muxed_cells:
290 bsv_file.write('''
291 {0}: rg_muxio_{1}<=truncate(data);'''.format(index, cell[0]))
292 index = index + 1
293
294 bsv_file.write('''
295 default: err=True;
296 endcase
297 return err;
298 endmethod''')
299
300 bsv_file.write('''
301 // method definitions for the read user interface
302 method Tuple2#(Bool,Bit#({3})) read(Bit#({2}) addr);
303 Bool err=False;
304 Bit#(32) data=0;
305 case (addr[{0}:{1}])'''.format(p.upper_offset, p.lower_offset,
306 p.ADDR_WIDTH, p.DATA_WIDTH))
307 index = 0
308 for cell in p.muxed_cells:
309 bsv_file.write('''
310 {0}: data=zeroExtend(rg_muxio_{1});'''.format(index, cell[0]))
311 index = index + 1
312
313 bsv_file.write('''
314 default:err=True;
315 endcase
316 return tuple2(err,data);
317 endmethod
318 interface peripheral_side=pinmux.peripheral_side;
319 endmodule
320 endpackage
321 ''')
322
323
324 def write_bvp(bvp, p, ifaces):
325 # ######## Generate bus transactors ################
326 gpiocfg = '\t\tinterface GPIO_config#({4}) bank{3}_config;\n' \
327 '\t\tinterface AXI4_Lite_Slave_IFC#({0},{1},{2}) bank{3}_slave;'
328 muxcfg = '\t\tinterface MUX_config#({4}) muxb{3}_config;\n' \
329 '\t\tinterface AXI4_Lite_Slave_IFC#({0},{1},{2}) muxb{3}_slave;'
330
331 gpiodec = '\tGPIO#({0}) mygpio{1} <- mkgpio();'
332 muxdec = '\tMUX#({0}) mymux{1} <- mkmux();'
333 gpioifc = '\tinterface bank{0}_config=mygpio{0}.pad_config;\n' \
334 '\tinterface bank{0}_slave=mygpio{0}.axi_slave;'
335 muxifc = '\tinterface muxb{0}_config=mymux{0}.mux_config;\n' \
336 '\tinterface muxb{0}_slave=mymux{0}.axi_slave;'
337 with open(bvp, 'w') as bsv_file:
338 # assume here that all muxes have a 1:1 gpio
339 cfg = []
340 decl = []
341 idec = []
342 iks = sorted(ifaces.keys())
343 for iname in iks:
344 if not iname.startswith('gpio'): # TODO: declare other interfaces
345 continue
346 bank = iname[4:]
347 ifc = ifaces[iname]
348 npins = len(ifc.pinspecs)
349 cfg.append(gpiocfg.format(p.ADDR_WIDTH, p.DATA_WIDTH,
350 0, # USERSPACE
351 bank, npins))
352 cfg.append(muxcfg.format(p.ADDR_WIDTH, p.DATA_WIDTH,
353 0, # USERSPACE
354 bank, npins))
355 decl.append(gpiodec.format(npins, bank))
356 decl.append(muxdec .format(npins, bank))
357 idec.append(gpioifc.format(bank))
358 idec.append(muxifc.format(bank))
359 print dir(ifaces)
360 print ifaces.items()
361 print dir(ifaces['gpioa'])
362 print ifaces['gpioa'].pinspecs
363 gpiodecl = '\n'.join(decl) + '\n' + '\n'.join(idec)
364 gpiocfg = '\n'.join(cfg)
365 bsv_file.write(axi4_lite.format(gpiodecl, gpiocfg))
366 # ##################################################
367
368
369 def write_instances(idef, p, ifaces):
370 with open(idef, 'w') as bsv_file:
371 txt = '''\
372 `define ADDR {0}
373 `define DATA {1}
374 `define USERSPACE 0
375 '''
376 bsv_file.write(txt.format(p.ADDR_WIDTH, p.DATA_WIDTH))