freedreno/ir3/print: print (r) flag
[mesa.git] / src / freedreno / ir3 / ir3_parser.y
1 /*
2 * Copyright (c) 2013 Rob Clark <robclark@freedesktop.org>
3 *
4 * Permission is hereby granted, free of charge, to any person obtaining a
5 * copy of this software and associated documentation files (the "Software"),
6 * to deal in the Software without restriction, including without limitation
7 * the rights to use, copy, modify, merge, publish, distribute, sublicense,
8 * and/or sell copies of the Software, and to permit persons to whom the
9 * Software is furnished to do so, subject to the following conditions:
10 *
11 * The above copyright notice and this permission notice (including the next
12 * paragraph) shall be included in all copies or substantial portions of the
13 * Software.
14 *
15 * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
16 * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
17 * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL
18 * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
19 * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
20 * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
21 * SOFTWARE.
22 */
23
24 %code requires {
25
26 #define MAX_BUFS 4
27
28 struct ir3_kernel_info {
29 uint32_t local_size[3];
30 uint32_t num_bufs;
31 uint32_t buf_sizes[MAX_BUFS]; /* size in dwords */
32
33 /* driver-param uniforms: */
34 unsigned numwg;
35 };
36
37 struct ir3 * ir3_parse(struct ir3_shader_variant *v,
38 struct ir3_kernel_info *k, FILE *f);
39 }
40
41 %{
42 #define YYDEBUG 0
43
44 #include <stdlib.h>
45 #include <stdio.h>
46 #include <string.h>
47 #include <math.h>
48
49 #include "util/u_math.h"
50
51 #include "ir3/ir3.h"
52 #include "ir3/ir3_shader.h"
53 #include "ir3/instr-a3xx.h"
54
55 #include "ir3_parser.h"
56
57 /* ir3 treats the abs/neg flags as separate flags for float vs integer,
58 * but in the instruction encoding they are the same thing. Tracking
59 * them separately is only for the benefit of ir3 opt passes, and not
60 * required here, so just use the float versions:
61 */
62 #define IR3_REG_ABS IR3_REG_FABS
63 #define IR3_REG_NEGATE IR3_REG_FNEG
64
65 static struct ir3_kernel_info *info;
66 static struct ir3_shader_variant *variant;
67 /* NOTE the assembler doesn't really use the ir3_block construction
68 * like the compiler does. Everything is treated as one large block.
69 * Which might happen to contain flow control. But since we don't
70 * use any of the ir3 backend passes (sched, RA, etc) this doesn't
71 * really matter.
72 */
73 static struct ir3_block *block; /* current shader block */
74 static struct ir3_instruction *instr; /* current instruction */
75
76 static struct {
77 unsigned flags;
78 unsigned repeat;
79 unsigned nop;
80 } iflags;
81
82 static struct {
83 unsigned flags;
84 unsigned wrmask;
85 } rflags;
86
87 int ir3_yyget_lineno(void);
88
89 static struct ir3_instruction * new_instr(opc_t opc)
90 {
91 instr = ir3_instr_create(block, opc);
92 instr->flags = iflags.flags;
93 instr->repeat = iflags.repeat;
94 instr->nop = iflags.nop;
95 instr->line = ir3_yyget_lineno();
96 iflags.flags = iflags.repeat = iflags.nop = 0;
97 return instr;
98 }
99
100 static void new_shader(void)
101 {
102 variant->ir = ir3_create(variant->shader->compiler, variant->shader->type);
103 block = ir3_block_create(variant->ir);
104 list_addtail(&block->node, &variant->ir->block_list);
105 }
106
107 static type_t parse_type(const char **type)
108 {
109 if (!strncmp("f16", *type, 3)) {
110 *type += 3;
111 return TYPE_F16;
112 } else if (!strncmp("f32", *type, 3)) {
113 *type += 3;
114 return TYPE_F32;
115 } else if (!strncmp("u16", *type, 3)) {
116 *type += 3;
117 return TYPE_U16;
118 } else if (!strncmp("u32", *type, 3)) {
119 *type += 3;
120 return TYPE_U32;
121 } else if (!strncmp("s16", *type, 3)) {
122 *type += 3;
123 return TYPE_S16;
124 } else if (!strncmp("s32", *type, 3)) {
125 *type += 3;
126 return TYPE_S32;
127 } else if (!strncmp("u8", *type, 2)) {
128 *type += 2;
129 return TYPE_U8;
130 } else if (!strncmp("s8", *type, 2)) {
131 *type += 2;
132 return TYPE_S8;
133 } else {
134 assert(0); /* shouldn't get here */
135 return ~0;
136 }
137 }
138
139 static struct ir3_instruction * parse_type_type(struct ir3_instruction *instr,
140 const char *type_type)
141 {
142 instr->cat1.src_type = parse_type(&type_type);
143 instr->cat1.dst_type = parse_type(&type_type);
144 return instr;
145 }
146
147 static struct ir3_register * new_reg(int num, unsigned flags)
148 {
149 struct ir3_register *reg;
150 flags |= rflags.flags;
151 if (num & 0x1)
152 flags |= IR3_REG_HALF;
153 reg = ir3_reg_create(instr, num>>1, flags);
154 reg->wrmask = MAX2(1, rflags.wrmask);
155 rflags.flags = rflags.wrmask = 0;
156 return reg;
157 }
158
159 static struct ir3_register * dummy_dst(void)
160 {
161 return new_reg(0, 0);
162 }
163
164 static void add_const(unsigned reg, unsigned c0, unsigned c1, unsigned c2, unsigned c3)
165 {
166 struct ir3_const_state *const_state = &variant->shader->const_state;
167 assert((reg & 0x7) == 0);
168 int idx = reg >> (1 + 2); /* low bit is half vs full, next two bits are swiz */
169 if (const_state->immediate_idx == const_state->immediates_size * 4) {
170 const_state->immediates_size += 4;
171 const_state->immediates = realloc (const_state->immediates,
172 const_state->immediates_size * sizeof(const_state->immediates[0]));
173 }
174 const_state->immediates[idx].val[0] = c0;
175 const_state->immediates[idx].val[1] = c1;
176 const_state->immediates[idx].val[2] = c2;
177 const_state->immediates[idx].val[3] = c3;
178 const_state->immediates_count = idx + 1;
179 const_state->immediate_idx++;
180 }
181
182 static void add_sysval(unsigned reg, unsigned compmask, gl_system_value sysval)
183 {
184 unsigned n = variant->inputs_count++;
185 variant->inputs[n].regid = reg;
186 variant->inputs[n].sysval = true;
187 variant->inputs[n].slot = sysval;
188 variant->inputs[n].compmask = compmask;
189 variant->inputs[n].interpolate = INTERP_MODE_FLAT;
190 variant->total_in++;
191 }
192
193 #ifdef YYDEBUG
194 int yydebug;
195 #endif
196
197 extern int yylex(void);
198 extern FILE *ir3_yyin;
199 void ir3_yyset_lineno(int _line_number);
200
201 int yyparse(void);
202
203 static void yyerror(const char *error)
204 {
205 fprintf(stderr, "error at line %d: %s\n", ir3_yyget_lineno(), error);
206 }
207
208 struct ir3 * ir3_parse(struct ir3_shader_variant *v,
209 struct ir3_kernel_info *k, FILE *f)
210 {
211 ir3_yyset_lineno(1);
212 ir3_yyin = f;
213 #ifdef YYDEBUG
214 yydebug = 1;
215 #endif
216 info = k;
217 variant = v;
218 if (yyparse()) {
219 ir3_destroy(variant->ir);
220 variant->ir = NULL;
221 }
222 return variant->ir;
223 }
224 %}
225
226 %union {
227 int tok;
228 int num;
229 uint32_t unum;
230 double flt;
231 const char *str;
232 struct ir3_register *reg;
233 struct {
234 int start;
235 int num;
236 } range;
237 type_t type;
238 }
239
240 %{
241 #if YYDEBUG
242 static void print_token(FILE *file, int type, YYSTYPE value)
243 {
244 fprintf(file, "\ntype: %d\n", type);
245 }
246
247 #define YYPRINT(file, type, value) print_token(file, type, value)
248 #endif
249 %}
250
251 %token <num> T_INT
252 %token <unum> T_HEX
253 %token <flt> T_FLOAT
254 %token <str> T_IDENTIFIER
255 %token <num> T_REGISTER
256 %token <num> T_CONSTANT
257
258 /* @ headers (@const/@sampler/@uniform/@varying) */
259 %token <tok> T_A_LOCALSIZE
260 %token <tok> T_A_CONST
261 %token <tok> T_A_BUF
262 %token <tok> T_A_INVOCATIONID
263 %token <tok> T_A_WGID
264 %token <tok> T_A_NUMWG
265 %token <tok> T_A_IN
266 %token <tok> T_A_OUT
267 %token <tok> T_A_TEX
268 /* todo, re-add @sampler/@uniform/@varying if needed someday */
269
270 /* src register flags */
271 %token <tok> T_ABSNEG
272 %token <tok> T_NEG
273 %token <tok> T_ABS
274 %token <tok> T_R
275
276 /* dst register flags */
277 %token <tok> T_EVEN
278 %token <tok> T_POS_INFINITY
279 %token <tok> T_EI
280 %token <num> T_WRMASK
281
282 /* instruction flags */
283 %token <tok> T_SY
284 %token <tok> T_SS
285 %token <tok> T_JP
286 %token <num> T_RPT
287 %token <tok> T_UL
288 %token <tok> T_NOP
289
290 /* category 0: */
291 %token <tok> T_OP_NOP
292 %token <tok> T_OP_BR
293 %token <tok> T_OP_JUMP
294 %token <tok> T_OP_CALL
295 %token <tok> T_OP_RET
296 %token <tok> T_OP_KILL
297 %token <tok> T_OP_END
298 %token <tok> T_OP_EMIT
299 %token <tok> T_OP_CUT
300 %token <tok> T_OP_CHMASK
301 %token <tok> T_OP_CHSH
302 %token <tok> T_OP_FLOW_REV
303
304 /* category 1: */
305 %token <tok> T_OP_MOVA
306 %token <tok> T_OP_MOV
307 %token <tok> T_OP_COV
308
309 /* category 2: */
310 %token <tok> T_OP_ADD_F
311 %token <tok> T_OP_MIN_F
312 %token <tok> T_OP_MAX_F
313 %token <tok> T_OP_MUL_F
314 %token <tok> T_OP_SIGN_F
315 %token <tok> T_OP_CMPS_F
316 %token <tok> T_OP_ABSNEG_F
317 %token <tok> T_OP_CMPV_F
318 %token <tok> T_OP_FLOOR_F
319 %token <tok> T_OP_CEIL_F
320 %token <tok> T_OP_RNDNE_F
321 %token <tok> T_OP_RNDAZ_F
322 %token <tok> T_OP_TRUNC_F
323 %token <tok> T_OP_ADD_U
324 %token <tok> T_OP_ADD_S
325 %token <tok> T_OP_SUB_U
326 %token <tok> T_OP_SUB_S
327 %token <tok> T_OP_CMPS_U
328 %token <tok> T_OP_CMPS_S
329 %token <tok> T_OP_MIN_U
330 %token <tok> T_OP_MIN_S
331 %token <tok> T_OP_MAX_U
332 %token <tok> T_OP_MAX_S
333 %token <tok> T_OP_ABSNEG_S
334 %token <tok> T_OP_AND_B
335 %token <tok> T_OP_OR_B
336 %token <tok> T_OP_NOT_B
337 %token <tok> T_OP_XOR_B
338 %token <tok> T_OP_CMPV_U
339 %token <tok> T_OP_CMPV_S
340 %token <tok> T_OP_MUL_U24
341 %token <tok> T_OP_MUL_S24
342 %token <tok> T_OP_MULL_U
343 %token <tok> T_OP_BFREV_B
344 %token <tok> T_OP_CLZ_S
345 %token <tok> T_OP_CLZ_B
346 %token <tok> T_OP_SHL_B
347 %token <tok> T_OP_SHR_B
348 %token <tok> T_OP_ASHR_B
349 %token <tok> T_OP_BARY_F
350 %token <tok> T_OP_MGEN_B
351 %token <tok> T_OP_GETBIT_B
352 %token <tok> T_OP_SETRM
353 %token <tok> T_OP_CBITS_B
354 %token <tok> T_OP_SHB
355 %token <tok> T_OP_MSAD
356
357 /* category 3: */
358 %token <tok> T_OP_MAD_U16
359 %token <tok> T_OP_MADSH_U16
360 %token <tok> T_OP_MAD_S16
361 %token <tok> T_OP_MADSH_M16
362 %token <tok> T_OP_MAD_U24
363 %token <tok> T_OP_MAD_S24
364 %token <tok> T_OP_MAD_F16
365 %token <tok> T_OP_MAD_F32
366 %token <tok> T_OP_SEL_B16
367 %token <tok> T_OP_SEL_B32
368 %token <tok> T_OP_SEL_S16
369 %token <tok> T_OP_SEL_S32
370 %token <tok> T_OP_SEL_F16
371 %token <tok> T_OP_SEL_F32
372 %token <tok> T_OP_SAD_S16
373 %token <tok> T_OP_SAD_S32
374
375 /* category 4: */
376 %token <tok> T_OP_RCP
377 %token <tok> T_OP_RSQ
378 %token <tok> T_OP_LOG2
379 %token <tok> T_OP_EXP2
380 %token <tok> T_OP_SIN
381 %token <tok> T_OP_COS
382 %token <tok> T_OP_SQRT
383 %token <tok> T_OP_HRSQ
384 %token <tok> T_OP_HLOG2
385 %token <tok> T_OP_HEXP2
386
387 /* category 5: */
388 %token <tok> T_OP_ISAM
389 %token <tok> T_OP_ISAML
390 %token <tok> T_OP_ISAMM
391 %token <tok> T_OP_SAM
392 %token <tok> T_OP_SAMB
393 %token <tok> T_OP_SAML
394 %token <tok> T_OP_SAMGQ
395 %token <tok> T_OP_GETLOD
396 %token <tok> T_OP_CONV
397 %token <tok> T_OP_CONVM
398 %token <tok> T_OP_GETSIZE
399 %token <tok> T_OP_GETBUF
400 %token <tok> T_OP_GETPOS
401 %token <tok> T_OP_GETINFO
402 %token <tok> T_OP_DSX
403 %token <tok> T_OP_DSY
404 %token <tok> T_OP_GATHER4R
405 %token <tok> T_OP_GATHER4G
406 %token <tok> T_OP_GATHER4B
407 %token <tok> T_OP_GATHER4A
408 %token <tok> T_OP_SAMGP0
409 %token <tok> T_OP_SAMGP1
410 %token <tok> T_OP_SAMGP2
411 %token <tok> T_OP_SAMGP3
412 %token <tok> T_OP_DSXPP_1
413 %token <tok> T_OP_DSYPP_1
414 %token <tok> T_OP_RGETPOS
415 %token <tok> T_OP_RGETINFO
416
417 /* category 6: */
418 %token <tok> T_OP_LDG
419 %token <tok> T_OP_LDL
420 %token <tok> T_OP_LDP
421 %token <tok> T_OP_STG
422 %token <tok> T_OP_STL
423 %token <tok> T_OP_STP
424 %token <tok> T_OP_LDIB
425 %token <tok> T_OP_G2L
426 %token <tok> T_OP_L2G
427 %token <tok> T_OP_PREFETCH
428 %token <tok> T_OP_LDLW
429 %token <tok> T_OP_STLW
430 %token <tok> T_OP_RESFMT
431 %token <tok> T_OP_RESINF
432 %token <tok> T_OP_ATOMIC_ADD
433 %token <tok> T_OP_ATOMIC_SUB
434 %token <tok> T_OP_ATOMIC_XCHG
435 %token <tok> T_OP_ATOMIC_INC
436 %token <tok> T_OP_ATOMIC_DEC
437 %token <tok> T_OP_ATOMIC_CMPXCHG
438 %token <tok> T_OP_ATOMIC_MIN
439 %token <tok> T_OP_ATOMIC_MAX
440 %token <tok> T_OP_ATOMIC_AND
441 %token <tok> T_OP_ATOMIC_OR
442 %token <tok> T_OP_ATOMIC_XOR
443 %token <tok> T_OP_LDGB
444 %token <tok> T_OP_STGB
445 %token <tok> T_OP_STIB
446 %token <tok> T_OP_LDC
447 %token <tok> T_OP_LDLV
448
449 /* type qualifiers: */
450 %token <tok> T_TYPE_F16
451 %token <tok> T_TYPE_F32
452 %token <tok> T_TYPE_U16
453 %token <tok> T_TYPE_U32
454 %token <tok> T_TYPE_S16
455 %token <tok> T_TYPE_S32
456 %token <tok> T_TYPE_U8
457 %token <tok> T_TYPE_S8
458
459 %token <tok> T_UNTYPED
460 %token <tok> T_TYPED
461
462 %token <tok> T_1D
463 %token <tok> T_2D
464 %token <tok> T_3D
465 %token <tok> T_4D
466
467 /* condition qualifiers: */
468 %token <tok> T_LT
469 %token <tok> T_LE
470 %token <tok> T_GT
471 %token <tok> T_GE
472 %token <tok> T_EQ
473 %token <tok> T_NE
474
475 %token <tok> T_S2EN
476 %token <tok> T_SAMP
477 %token <tok> T_TEX
478 %token <tok> T_BASE
479
480 %token <tok> T_NAN
481 %token <tok> T_INF
482 %token <num> T_A0
483 %token <num> T_P0
484 %token <str> T_CAT1_TYPE_TYPE
485
486 %type <num> integer offset
487 %type <flt> float
488 %type <reg> reg const
489 %type <tok> cat1_opc
490 %type <tok> cat2_opc_1src cat2_opc_2src_cnd cat2_opc_2src
491 %type <tok> cat3_opc
492 %type <tok> cat4_opc
493 %type <tok> cat5_opc cat5_samp cat5_tex cat5_type
494 %type <type> type
495 %type <unum> const_val
496
497 %error-verbose
498
499 %start shader
500
501 %%
502
503 shader: { new_shader(); } headers instrs
504
505 headers:
506 | header headers
507
508 header: localsize_header
509 | const_header
510 | buf_header
511 | invocationid_header
512 | wgid_header
513 | numwg_header
514 | in_header
515 | out_header
516 | tex_header
517
518 const_val: T_FLOAT { $$ = fui($1); }
519 | T_INT { $$ = $1; }
520 | '-' T_INT { $$ = -$2; }
521 | T_HEX { $$ = $1; }
522
523 localsize_header: T_A_LOCALSIZE const_val ',' const_val ',' const_val {
524 info->local_size[0] = $2;
525 info->local_size[1] = $4;
526 info->local_size[2] = $6;
527 }
528
529 const_header: T_A_CONST '(' T_CONSTANT ')' const_val ',' const_val ',' const_val ',' const_val {
530 add_const($3, $5, $7, $9, $11);
531 }
532
533 buf_header: T_A_BUF const_val {
534 int idx = info->num_bufs++;
535 assert(idx < MAX_BUFS);
536 info->buf_sizes[idx] = $2;
537 }
538
539 invocationid_header: T_A_INVOCATIONID '(' T_REGISTER ')' {
540 assert(($3 & 0x1) == 0); /* half-reg not allowed */
541 unsigned reg = $3 >> 1;
542 add_sysval(reg, 0x7, SYSTEM_VALUE_LOCAL_INVOCATION_ID);
543 }
544
545 wgid_header: T_A_WGID '(' T_REGISTER ')' {
546 assert(($3 & 0x1) == 0); /* half-reg not allowed */
547 unsigned reg = $3 >> 1;
548 assert(reg >= regid(48, 0)); /* must be a high reg */
549 add_sysval(reg, 0x7, SYSTEM_VALUE_WORK_GROUP_ID);
550 }
551
552 numwg_header: T_A_NUMWG '(' T_CONSTANT ')' {
553 assert(($3 & 0x1) == 0); /* half-reg not allowed */
554 unsigned reg = $3 >> 1;
555 info->numwg = reg;
556 /* reserve space in immediates for the actual value to be plugged in later: */
557 add_const($3, 0, 0, 0, 0);
558 }
559
560 /* Stubs for now */
561 in_header: T_A_IN '(' T_REGISTER ')' T_IDENTIFIER '(' T_IDENTIFIER '=' integer ')' { }
562
563 out_header: T_A_OUT '(' T_REGISTER ')' T_IDENTIFIER '(' T_IDENTIFIER '=' integer ')' { }
564
565 tex_header: T_A_TEX '(' T_REGISTER ')'
566 T_IDENTIFIER '=' integer ',' /* src */
567 T_IDENTIFIER '=' integer ',' /* samp */
568 T_IDENTIFIER '=' integer ',' /* tex */
569 T_IDENTIFIER '=' integer ',' /* wrmask */
570 T_IDENTIFIER '=' integer /* cmd */ { }
571
572 iflag: T_SY { iflags.flags |= IR3_INSTR_SY; }
573 | T_SS { iflags.flags |= IR3_INSTR_SS; }
574 | T_JP { iflags.flags |= IR3_INSTR_JP; }
575 | T_RPT { iflags.repeat = $1; }
576 | T_UL { iflags.flags |= IR3_INSTR_UL; }
577 | T_NOP { iflags.nop = $1; }
578
579 iflags:
580 | iflag iflags
581
582 instrs: instr instrs
583 | instr
584
585 instr: iflags cat0_instr
586 | iflags cat1_instr
587 | iflags cat2_instr
588 | iflags cat3_instr
589 | iflags cat4_instr
590 | iflags cat5_instr
591 | iflags cat6_instr
592
593 cat0_src: '!' T_P0 { instr->cat0.inv = true; instr->cat0.comp = $2 >> 1; }
594 | T_P0 { instr->cat0.comp = $1 >> 1; }
595
596 cat0_immed: '#' integer { instr->cat0.immed = $2; }
597
598 cat0_instr: T_OP_NOP { new_instr(OPC_NOP); }
599 | T_OP_BR { new_instr(OPC_B); } cat0_src ',' cat0_immed
600 | T_OP_JUMP { new_instr(OPC_JUMP); } cat0_immed
601 | T_OP_CALL { new_instr(OPC_CALL); } cat0_immed
602 | T_OP_RET { new_instr(OPC_RET); }
603 | T_OP_KILL { new_instr(OPC_KILL); } cat0_src
604 | T_OP_END { new_instr(OPC_END); }
605 | T_OP_EMIT { new_instr(OPC_EMIT); }
606 | T_OP_CUT { new_instr(OPC_CUT); }
607 | T_OP_CHMASK { new_instr(OPC_CHMASK); }
608 | T_OP_CHSH { new_instr(OPC_CHSH); }
609 | T_OP_FLOW_REV { new_instr(OPC_FLOW_REV); }
610
611 cat1_opc: T_OP_MOVA {
612 new_instr(OPC_MOV);
613 instr->cat1.src_type = TYPE_S16;
614 instr->cat1.dst_type = TYPE_S16;
615 }
616 | T_OP_MOV '.' T_CAT1_TYPE_TYPE {
617 parse_type_type(new_instr(OPC_MOV), $3);
618 }
619 | T_OP_COV '.' T_CAT1_TYPE_TYPE {
620 parse_type_type(new_instr(OPC_MOV), $3);
621 }
622
623 cat1_instr: cat1_opc dst_reg ',' src_reg_or_const_or_rel_or_imm
624
625 cat2_opc_1src: T_OP_ABSNEG_F { new_instr(OPC_ABSNEG_F); }
626 | T_OP_ABSNEG_S { new_instr(OPC_ABSNEG_S); }
627 | T_OP_CLZ_B { new_instr(OPC_CLZ_B); }
628 | T_OP_CLZ_S { new_instr(OPC_CLZ_S); }
629 | T_OP_SIGN_F { new_instr(OPC_SIGN_F); }
630 | T_OP_FLOOR_F { new_instr(OPC_FLOOR_F); }
631 | T_OP_CEIL_F { new_instr(OPC_CEIL_F); }
632 | T_OP_RNDNE_F { new_instr(OPC_RNDNE_F); }
633 | T_OP_RNDAZ_F { new_instr(OPC_RNDAZ_F); }
634 | T_OP_TRUNC_F { new_instr(OPC_TRUNC_F); }
635 | T_OP_NOT_B { new_instr(OPC_NOT_B); }
636 | T_OP_BFREV_B { new_instr(OPC_BFREV_B); }
637 | T_OP_SETRM { new_instr(OPC_SETRM); }
638 | T_OP_CBITS_B { new_instr(OPC_CBITS_B); }
639
640 cat2_opc_2src_cnd: T_OP_CMPS_F { new_instr(OPC_CMPS_F); }
641 | T_OP_CMPS_U { new_instr(OPC_CMPS_U); }
642 | T_OP_CMPS_S { new_instr(OPC_CMPS_S); }
643 | T_OP_CMPV_F { new_instr(OPC_CMPV_F); }
644 | T_OP_CMPV_U { new_instr(OPC_CMPV_U); }
645 | T_OP_CMPV_S { new_instr(OPC_CMPV_S); }
646
647 cat2_opc_2src: T_OP_ADD_F { new_instr(OPC_ADD_F); }
648 | T_OP_MIN_F { new_instr(OPC_MIN_F); }
649 | T_OP_MAX_F { new_instr(OPC_MAX_F); }
650 | T_OP_MUL_F { new_instr(OPC_MUL_F); }
651 | T_OP_ADD_U { new_instr(OPC_ADD_U); }
652 | T_OP_ADD_S { new_instr(OPC_ADD_S); }
653 | T_OP_SUB_U { new_instr(OPC_SUB_U); }
654 | T_OP_SUB_S { new_instr(OPC_SUB_S); }
655 | T_OP_MIN_U { new_instr(OPC_MIN_U); }
656 | T_OP_MIN_S { new_instr(OPC_MIN_S); }
657 | T_OP_MAX_U { new_instr(OPC_MAX_U); }
658 | T_OP_MAX_S { new_instr(OPC_MAX_S); }
659 | T_OP_AND_B { new_instr(OPC_AND_B); }
660 | T_OP_OR_B { new_instr(OPC_OR_B); }
661 | T_OP_XOR_B { new_instr(OPC_XOR_B); }
662 | T_OP_MUL_U24 { new_instr(OPC_MUL_U24); }
663 | T_OP_MUL_S24 { new_instr(OPC_MUL_S24); }
664 | T_OP_MULL_U { new_instr(OPC_MULL_U); }
665 | T_OP_SHL_B { new_instr(OPC_SHL_B); }
666 | T_OP_SHR_B { new_instr(OPC_SHR_B); }
667 | T_OP_ASHR_B { new_instr(OPC_ASHR_B); }
668 | T_OP_BARY_F { new_instr(OPC_BARY_F); }
669 | T_OP_MGEN_B { new_instr(OPC_MGEN_B); }
670 | T_OP_GETBIT_B { new_instr(OPC_GETBIT_B); }
671 | T_OP_SHB { new_instr(OPC_SHB); }
672 | T_OP_MSAD { new_instr(OPC_MSAD); }
673
674 cond: T_LT { instr->cat2.condition = IR3_COND_LT; }
675 | T_LE { instr->cat2.condition = IR3_COND_LE; }
676 | T_GT { instr->cat2.condition = IR3_COND_GT; }
677 | T_GE { instr->cat2.condition = IR3_COND_GE; }
678 | T_EQ { instr->cat2.condition = IR3_COND_EQ; }
679 | T_NE { instr->cat2.condition = IR3_COND_NE; }
680
681 cat2_instr: cat2_opc_1src dst_reg ',' src_reg_or_const_or_rel_or_imm
682 | cat2_opc_2src_cnd '.' cond dst_reg ',' src_reg_or_const_or_rel_or_imm ',' src_reg_or_const_or_rel_or_imm
683 | cat2_opc_2src dst_reg ',' src_reg_or_const_or_rel_or_imm ',' src_reg_or_const_or_rel_or_imm
684
685 cat3_opc: T_OP_MAD_U16 { new_instr(OPC_MAD_U16); }
686 | T_OP_MADSH_U16 { new_instr(OPC_MADSH_U16); }
687 | T_OP_MAD_S16 { new_instr(OPC_MAD_S16); }
688 | T_OP_MADSH_M16 { new_instr(OPC_MADSH_M16); }
689 | T_OP_MAD_U24 { new_instr(OPC_MAD_U24); }
690 | T_OP_MAD_S24 { new_instr(OPC_MAD_S24); }
691 | T_OP_MAD_F16 { new_instr(OPC_MAD_F16); }
692 | T_OP_MAD_F32 { new_instr(OPC_MAD_F32); }
693 | T_OP_SEL_B16 { new_instr(OPC_SEL_B16); }
694 | T_OP_SEL_B32 { new_instr(OPC_SEL_B32); }
695 | T_OP_SEL_S16 { new_instr(OPC_SEL_S16); }
696 | T_OP_SEL_S32 { new_instr(OPC_SEL_S32); }
697 | T_OP_SEL_F16 { new_instr(OPC_SEL_F16); }
698 | T_OP_SEL_F32 { new_instr(OPC_SEL_F32); }
699 | T_OP_SAD_S16 { new_instr(OPC_SAD_S16); }
700 | T_OP_SAD_S32 { new_instr(OPC_SAD_S32); }
701
702 cat3_instr: cat3_opc dst_reg ',' src_reg_or_const_or_rel ',' src_reg_or_const ',' src_reg_or_const_or_rel
703
704 cat4_opc: T_OP_RCP { new_instr(OPC_RCP); }
705 | T_OP_RSQ { new_instr(OPC_RSQ); }
706 | T_OP_LOG2 { new_instr(OPC_LOG2); }
707 | T_OP_EXP2 { new_instr(OPC_EXP2); }
708 | T_OP_SIN { new_instr(OPC_SIN); }
709 | T_OP_COS { new_instr(OPC_COS); }
710 | T_OP_SQRT { new_instr(OPC_SQRT); }
711 | T_OP_HRSQ { new_instr(OPC_HRSQ); }
712 | T_OP_HLOG2 { new_instr(OPC_HLOG2); }
713 | T_OP_HEXP2 { new_instr(OPC_HEXP2); }
714
715 cat4_instr: cat4_opc dst_reg ',' src_reg_or_const_or_rel_or_imm
716
717 cat5_opc_dsxypp: T_OP_DSXPP_1 { new_instr(OPC_DSXPP_1); }
718 | T_OP_DSYPP_1 { new_instr(OPC_DSYPP_1); }
719
720 cat5_opc: T_OP_ISAM { new_instr(OPC_ISAM); }
721 | T_OP_ISAML { new_instr(OPC_ISAML); }
722 | T_OP_ISAMM { new_instr(OPC_ISAMM); }
723 | T_OP_SAM { new_instr(OPC_SAM); }
724 | T_OP_SAMB { new_instr(OPC_SAMB); }
725 | T_OP_SAML { new_instr(OPC_SAML); }
726 | T_OP_SAMGQ { new_instr(OPC_SAMGQ); }
727 | T_OP_GETLOD { new_instr(OPC_GETLOD); }
728 | T_OP_CONV { new_instr(OPC_CONV); }
729 | T_OP_CONVM { new_instr(OPC_CONVM); }
730 | T_OP_GETSIZE { new_instr(OPC_GETSIZE); }
731 | T_OP_GETBUF { new_instr(OPC_GETBUF); }
732 | T_OP_GETPOS { new_instr(OPC_GETPOS); }
733 | T_OP_GETINFO { new_instr(OPC_GETINFO); }
734 | T_OP_DSX { new_instr(OPC_DSX); }
735 | T_OP_DSY { new_instr(OPC_DSY); }
736 | T_OP_GATHER4R { new_instr(OPC_GATHER4R); }
737 | T_OP_GATHER4G { new_instr(OPC_GATHER4G); }
738 | T_OP_GATHER4B { new_instr(OPC_GATHER4B); }
739 | T_OP_GATHER4A { new_instr(OPC_GATHER4A); }
740 | T_OP_SAMGP0 { new_instr(OPC_SAMGP0); }
741 | T_OP_SAMGP1 { new_instr(OPC_SAMGP1); }
742 | T_OP_SAMGP2 { new_instr(OPC_SAMGP2); }
743 | T_OP_SAMGP3 { new_instr(OPC_SAMGP3); }
744 | T_OP_RGETPOS { new_instr(OPC_RGETPOS); }
745 | T_OP_RGETINFO { new_instr(OPC_RGETINFO); }
746
747 cat5_flag: '.' T_3D { instr->flags |= IR3_INSTR_3D; }
748 | '.' 'a' { instr->flags |= IR3_INSTR_A; }
749 | '.' 'o' { instr->flags |= IR3_INSTR_O; }
750 | '.' 'p' { instr->flags |= IR3_INSTR_P; }
751 | '.' 's' { instr->flags |= IR3_INSTR_S; }
752 | '.' T_S2EN { instr->flags |= IR3_INSTR_S2EN; }
753 | '.' T_BASE { instr->flags |= IR3_INSTR_B; instr->cat5.tex_base = $2; }
754 cat5_flags:
755 | cat5_flag cat5_flags
756
757 cat5_samp: T_SAMP { instr->cat5.samp = $1; }
758 cat5_tex: T_TEX { if (instr->flags & IR3_INSTR_B) instr->cat5.samp |= ($1 << 4); else instr->cat5.tex = $1; }
759 cat5_type: '(' type ')' { instr->cat5.type = $2; }
760
761 cat5_instr: cat5_opc_dsxypp cat5_flags dst_reg ',' src_reg
762 | cat5_opc cat5_flags cat5_type dst_reg ',' src_reg ',' src_reg ',' cat5_samp ',' cat5_tex
763 | cat5_opc cat5_flags cat5_type dst_reg ',' src_reg ',' src_reg ',' cat5_samp
764 | cat5_opc cat5_flags cat5_type dst_reg ',' src_reg ',' src_reg ',' cat5_tex
765 | cat5_opc cat5_flags cat5_type dst_reg ',' src_reg ',' src_reg
766 | cat5_opc cat5_flags cat5_type dst_reg ',' src_reg ',' cat5_samp ',' cat5_tex
767 | cat5_opc cat5_flags cat5_type dst_reg ',' src_reg ',' cat5_samp
768 | cat5_opc cat5_flags cat5_type dst_reg ',' src_reg ',' cat5_tex
769 | cat5_opc cat5_flags cat5_type dst_reg ',' src_reg
770 | cat5_opc cat5_flags cat5_type dst_reg ',' cat5_samp ',' cat5_tex
771 | cat5_opc cat5_flags cat5_type dst_reg ',' cat5_samp
772 | cat5_opc cat5_flags cat5_type dst_reg ',' cat5_tex
773 | cat5_opc cat5_flags cat5_type dst_reg
774
775 cat6_typed: '.' T_UNTYPED { instr->cat6.typed = 0; }
776 | '.' T_TYPED { instr->cat6.typed = 1; }
777
778 cat6_dim: '.' T_1D { instr->cat6.d = 1; }
779 | '.' T_2D { instr->cat6.d = 2; }
780 | '.' T_3D { instr->cat6.d = 3; }
781 | '.' T_4D { instr->cat6.d = 4; }
782
783 cat6_type: '.' type { instr->cat6.type = $2; }
784 cat6_offset: offset { instr->cat6.src_offset = $1; }
785 cat6_immed: integer { instr->cat6.iim_val = $1; }
786
787 cat6_load: T_OP_LDG { new_instr(OPC_LDG); } cat6_type dst_reg ',' 'g' '[' reg cat6_offset ']' ',' cat6_immed
788 | T_OP_LDP { new_instr(OPC_LDP); } cat6_type dst_reg ',' 'p' '[' reg cat6_offset ']' ',' cat6_immed
789 | T_OP_LDL { new_instr(OPC_LDL); } cat6_type dst_reg ',' 'l' '[' reg cat6_offset ']' ',' cat6_immed
790 | T_OP_LDLW { new_instr(OPC_LDLW); } cat6_type dst_reg ',' 'l' '[' reg cat6_offset ']' ',' cat6_immed
791 | T_OP_LDLV { new_instr(OPC_LDLV); } cat6_type dst_reg ',' 'l' '[' reg cat6_offset ']' ',' cat6_immed
792
793 // TODO some of the cat6 instructions have different syntax for a6xx..
794 //| T_OP_LDIB { new_instr(OPC_LDIB); } cat6_type dst_reg cat6_offset ',' reg ',' cat6_immed
795
796 cat6_store: T_OP_STG { new_instr(OPC_STG); } cat6_type 'g' '[' dst_reg cat6_offset ']' ',' reg ',' cat6_immed
797 | T_OP_STP { new_instr(OPC_STP); } cat6_type 'p' '[' dst_reg cat6_offset ']' ',' reg ',' cat6_immed
798 | T_OP_STL { new_instr(OPC_STL); } cat6_type 'l' '[' dst_reg cat6_offset ']' ',' reg ',' cat6_immed
799 | T_OP_STLW { new_instr(OPC_STLW); } cat6_type 'l' '[' dst_reg cat6_offset ']' ',' reg ',' cat6_immed
800
801 cat6_storeib: T_OP_STIB { new_instr(OPC_STIB); dummy_dst(); } cat6_typed cat6_dim cat6_type '.' cat6_immed'g' '[' immediate ']' '+' reg ',' reg
802
803 cat6_prefetch: T_OP_PREFETCH { new_instr(OPC_PREFETCH); new_reg(0,0); /* dummy dst */ } 'g' '[' reg cat6_offset ']' ',' cat6_immed
804
805 cat6_atomic_l_g: '.' 'g' { instr->flags |= IR3_INSTR_G; }
806 | '.' 'l' { }
807
808 cat6_atomic: T_OP_ATOMIC_ADD { new_instr(OPC_ATOMIC_ADD); } cat6_atomic_l_g cat6_type dst_reg ',' 'l' '[' reg cat6_offset ']' ',' cat6_immed
809 | T_OP_ATOMIC_SUB { new_instr(OPC_ATOMIC_SUB); } cat6_atomic_l_g cat6_type dst_reg ',' 'l' '[' reg cat6_offset ']' ',' cat6_immed
810 | T_OP_ATOMIC_XCHG { new_instr(OPC_ATOMIC_XCHG); } cat6_atomic_l_g cat6_type dst_reg ',' 'l' '[' reg cat6_offset ']' ',' cat6_immed
811 | T_OP_ATOMIC_INC { new_instr(OPC_ATOMIC_INC); } cat6_atomic_l_g cat6_type dst_reg ',' 'l' '[' reg cat6_offset ']' ',' cat6_immed
812 | T_OP_ATOMIC_DEC { new_instr(OPC_ATOMIC_DEC); } cat6_atomic_l_g cat6_type dst_reg ',' 'l' '[' reg cat6_offset ']' ',' cat6_immed
813 | T_OP_ATOMIC_CMPXCHG { new_instr(OPC_ATOMIC_CMPXCHG); }cat6_atomic_l_g cat6_type dst_reg ',' 'l' '[' reg cat6_offset ']' ',' cat6_immed
814 | T_OP_ATOMIC_MIN { new_instr(OPC_ATOMIC_MIN); } cat6_atomic_l_g cat6_type dst_reg ',' 'l' '[' reg cat6_offset ']' ',' cat6_immed
815 | T_OP_ATOMIC_MAX { new_instr(OPC_ATOMIC_MAX); } cat6_atomic_l_g cat6_type dst_reg ',' 'l' '[' reg cat6_offset ']' ',' cat6_immed
816 | T_OP_ATOMIC_AND { new_instr(OPC_ATOMIC_AND); } cat6_atomic_l_g cat6_type dst_reg ',' 'l' '[' reg cat6_offset ']' ',' cat6_immed
817 | T_OP_ATOMIC_OR { new_instr(OPC_ATOMIC_OR); } cat6_atomic_l_g cat6_type dst_reg ',' 'l' '[' reg cat6_offset ']' ',' cat6_immed
818 | T_OP_ATOMIC_XOR { new_instr(OPC_ATOMIC_XOR); } cat6_atomic_l_g cat6_type dst_reg ',' 'l' '[' reg cat6_offset ']' ',' cat6_immed
819
820 cat6_todo: T_OP_G2L { new_instr(OPC_G2L); }
821 | T_OP_L2G { new_instr(OPC_L2G); }
822 | T_OP_RESFMT { new_instr(OPC_RESFMT); }
823 | T_OP_RESINF { new_instr(OPC_RESINFO); }
824 | T_OP_LDGB { new_instr(OPC_LDGB); }
825 | T_OP_STGB { new_instr(OPC_STGB); }
826 | T_OP_LDC { new_instr(OPC_LDC); }
827
828 cat6_instr: cat6_load
829 | cat6_store
830 | cat6_storeib
831 | cat6_prefetch
832 | cat6_atomic
833 | cat6_todo
834
835 reg: T_REGISTER { $$ = new_reg($1, 0); }
836 | T_A0 { $$ = new_reg((61 << 3) + $1, IR3_REG_HALF); }
837 | T_P0 { $$ = new_reg((62 << 3) + $1, 0); }
838
839 const: T_CONSTANT { $$ = new_reg($1, IR3_REG_CONST); }
840
841 dst_reg_flag: T_EVEN { rflags.flags |= IR3_REG_EVEN; }
842 | T_POS_INFINITY { rflags.flags |= IR3_REG_POS_INF; }
843 | T_EI { rflags.flags |= IR3_REG_EI; }
844 | T_WRMASK { rflags.wrmask = $1; }
845
846 dst_reg_flags: dst_reg_flag
847 | dst_reg_flag dst_reg_flags
848
849 /* note: destination registers are always incremented in repeat */
850 dst_reg: reg { $1->flags |= IR3_REG_R; }
851 | dst_reg_flags reg { $2->flags |= IR3_REG_R; }
852
853 src_reg_flag: T_ABSNEG { rflags.flags |= IR3_REG_ABS|IR3_REG_NEGATE; }
854 | T_NEG { rflags.flags |= IR3_REG_NEGATE; }
855 | T_ABS { rflags.flags |= IR3_REG_ABS; }
856 | T_R { rflags.flags |= IR3_REG_R; }
857
858 src_reg_flags: src_reg_flag
859 | src_reg_flag src_reg_flags
860
861 src_reg: reg
862 | src_reg_flags reg
863
864 src_const: const
865 | src_reg_flags const
866
867 src_reg_or_const: src_reg
868 | src_const
869
870 src_reg_or_const_or_rel: src_reg_or_const
871 | relative
872
873 src_reg_or_const_or_rel_or_imm: src_reg_or_const_or_rel
874 | src_reg_flags immediate
875 | immediate
876
877 offset: { $$ = 0; }
878 | '+' integer { $$ = $2; }
879 | '-' integer { $$ = -$2; }
880
881 relative: 'r' '<' T_A0 offset '>' { new_reg(0, IR3_REG_RELATIV)->array.offset = $4; }
882 | 'c' '<' T_A0 offset '>' { new_reg(0, IR3_REG_RELATIV | IR3_REG_CONST)->array.offset = $4; }
883
884 immediate: integer { new_reg(0, IR3_REG_IMMED)->iim_val = $1; }
885 | '(' integer ')' { new_reg(0, IR3_REG_IMMED)->fim_val = $2; }
886 | '(' float ')' { new_reg(0, IR3_REG_IMMED)->fim_val = $2; }
887 | '(' T_NAN ')' { new_reg(0, IR3_REG_IMMED)->fim_val = NAN; }
888 | '(' T_INF ')' { new_reg(0, IR3_REG_IMMED)->fim_val = INFINITY; }
889
890 integer: T_INT { $$ = $1; }
891 | '-' T_INT { $$ = -$2; }
892 | T_HEX { $$ = $1; }
893 | '-' T_HEX { $$ = -$2; }
894
895 float: T_FLOAT { $$ = $1; }
896 | '-' T_FLOAT { $$ = -$2; }
897
898 type: T_TYPE_F16 { $$ = TYPE_F16; }
899 | T_TYPE_F32 { $$ = TYPE_F32; }
900 | T_TYPE_U16 { $$ = TYPE_U16; }
901 | T_TYPE_U32 { $$ = TYPE_U32; }
902 | T_TYPE_S16 { $$ = TYPE_S16; }
903 | T_TYPE_S32 { $$ = TYPE_S32; }
904 | T_TYPE_U8 { $$ = TYPE_U8; }
905 | T_TYPE_S8 { $$ = TYPE_S8; }