freedreno/ir3: pass variant to ir3_create()
[mesa.git] / src / freedreno / ir3 / ir3_parser.y
1 /*
2 * Copyright (c) 2013 Rob Clark <robclark@freedesktop.org>
3 *
4 * Permission is hereby granted, free of charge, to any person obtaining a
5 * copy of this software and associated documentation files (the "Software"),
6 * to deal in the Software without restriction, including without limitation
7 * the rights to use, copy, modify, merge, publish, distribute, sublicense,
8 * and/or sell copies of the Software, and to permit persons to whom the
9 * Software is furnished to do so, subject to the following conditions:
10 *
11 * The above copyright notice and this permission notice (including the next
12 * paragraph) shall be included in all copies or substantial portions of the
13 * Software.
14 *
15 * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
16 * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
17 * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL
18 * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
19 * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
20 * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
21 * SOFTWARE.
22 */
23
24 %code requires {
25 #include "ir3/ir3_assembler.h"
26
27 struct ir3 * ir3_parse(struct ir3_shader_variant *v,
28 struct ir3_kernel_info *k, FILE *f);
29 }
30
31 %{
32 #define YYDEBUG 0
33
34 #include <stdlib.h>
35 #include <stdio.h>
36 #include <string.h>
37 #include <math.h>
38
39 #include "util/u_math.h"
40
41 #include "ir3/ir3.h"
42 #include "ir3/ir3_shader.h"
43 #include "ir3/instr-a3xx.h"
44
45 #include "ir3_parser.h"
46
47 /* ir3 treats the abs/neg flags as separate flags for float vs integer,
48 * but in the instruction encoding they are the same thing. Tracking
49 * them separately is only for the benefit of ir3 opt passes, and not
50 * required here, so just use the float versions:
51 */
52 #define IR3_REG_ABS IR3_REG_FABS
53 #define IR3_REG_NEGATE IR3_REG_FNEG
54
55 static struct ir3_kernel_info *info;
56 static struct ir3_shader_variant *variant;
57 /* NOTE the assembler doesn't really use the ir3_block construction
58 * like the compiler does. Everything is treated as one large block.
59 * Which might happen to contain flow control. But since we don't
60 * use any of the ir3 backend passes (sched, RA, etc) this doesn't
61 * really matter.
62 */
63 static struct ir3_block *block; /* current shader block */
64 static struct ir3_instruction *instr; /* current instruction */
65
66 static struct {
67 unsigned flags;
68 unsigned repeat;
69 unsigned nop;
70 } iflags;
71
72 static struct {
73 unsigned flags;
74 unsigned wrmask;
75 } rflags;
76
77 int ir3_yyget_lineno(void);
78
79 static struct ir3_instruction * new_instr(opc_t opc)
80 {
81 instr = ir3_instr_create(block, opc);
82 instr->flags = iflags.flags;
83 instr->repeat = iflags.repeat;
84 instr->nop = iflags.nop;
85 instr->line = ir3_yyget_lineno();
86 iflags.flags = iflags.repeat = iflags.nop = 0;
87 return instr;
88 }
89
90 static void new_shader(void)
91 {
92 variant->ir = ir3_create(variant->shader->compiler, variant);
93 block = ir3_block_create(variant->ir);
94 list_addtail(&block->node, &variant->ir->block_list);
95 }
96
97 static type_t parse_type(const char **type)
98 {
99 if (!strncmp("f16", *type, 3)) {
100 *type += 3;
101 return TYPE_F16;
102 } else if (!strncmp("f32", *type, 3)) {
103 *type += 3;
104 return TYPE_F32;
105 } else if (!strncmp("u16", *type, 3)) {
106 *type += 3;
107 return TYPE_U16;
108 } else if (!strncmp("u32", *type, 3)) {
109 *type += 3;
110 return TYPE_U32;
111 } else if (!strncmp("s16", *type, 3)) {
112 *type += 3;
113 return TYPE_S16;
114 } else if (!strncmp("s32", *type, 3)) {
115 *type += 3;
116 return TYPE_S32;
117 } else if (!strncmp("u8", *type, 2)) {
118 *type += 2;
119 return TYPE_U8;
120 } else if (!strncmp("s8", *type, 2)) {
121 *type += 2;
122 return TYPE_S8;
123 } else {
124 assert(0); /* shouldn't get here */
125 return ~0;
126 }
127 }
128
129 static struct ir3_instruction * parse_type_type(struct ir3_instruction *instr,
130 const char *type_type)
131 {
132 instr->cat1.src_type = parse_type(&type_type);
133 instr->cat1.dst_type = parse_type(&type_type);
134 return instr;
135 }
136
137 static struct ir3_register * new_reg(int num, unsigned flags)
138 {
139 struct ir3_register *reg;
140 flags |= rflags.flags;
141 if (num & 0x1)
142 flags |= IR3_REG_HALF;
143 reg = ir3_reg_create(instr, num>>1, flags);
144 reg->wrmask = MAX2(1, rflags.wrmask);
145 rflags.flags = rflags.wrmask = 0;
146 return reg;
147 }
148
149 static struct ir3_register * dummy_dst(void)
150 {
151 return new_reg(0, 0);
152 }
153
154 static void add_const(unsigned reg, unsigned c0, unsigned c1, unsigned c2, unsigned c3)
155 {
156 struct ir3_const_state *const_state = ir3_const_state(variant);
157 assert((reg & 0x7) == 0);
158 int idx = reg >> (1 + 2); /* low bit is half vs full, next two bits are swiz */
159 if (const_state->immediate_idx == const_state->immediates_size * 4) {
160 const_state->immediates_size += 4;
161 const_state->immediates = realloc (const_state->immediates,
162 const_state->immediates_size * sizeof(const_state->immediates[0]));
163 }
164 const_state->immediates[idx].val[0] = c0;
165 const_state->immediates[idx].val[1] = c1;
166 const_state->immediates[idx].val[2] = c2;
167 const_state->immediates[idx].val[3] = c3;
168 const_state->immediates_count = idx + 1;
169 const_state->immediate_idx++;
170 }
171
172 static void add_sysval(unsigned reg, unsigned compmask, gl_system_value sysval)
173 {
174 unsigned n = variant->inputs_count++;
175 variant->inputs[n].regid = reg;
176 variant->inputs[n].sysval = true;
177 variant->inputs[n].slot = sysval;
178 variant->inputs[n].compmask = compmask;
179 variant->inputs[n].interpolate = INTERP_MODE_FLAT;
180 variant->total_in++;
181 }
182
183 #ifdef YYDEBUG
184 int yydebug;
185 #endif
186
187 extern int yylex(void);
188 extern FILE *ir3_yyin;
189 void ir3_yyset_lineno(int _line_number);
190
191 int yyparse(void);
192
193 static void yyerror(const char *error)
194 {
195 fprintf(stderr, "error at line %d: %s\n", ir3_yyget_lineno(), error);
196 }
197
198 struct ir3 * ir3_parse(struct ir3_shader_variant *v,
199 struct ir3_kernel_info *k, FILE *f)
200 {
201 ir3_yyset_lineno(1);
202 ir3_yyin = f;
203 #ifdef YYDEBUG
204 yydebug = 1;
205 #endif
206 info = k;
207 variant = v;
208 if (yyparse()) {
209 ir3_destroy(variant->ir);
210 variant->ir = NULL;
211 }
212 return variant->ir;
213 }
214 %}
215
216 %union {
217 int tok;
218 int num;
219 uint32_t unum;
220 double flt;
221 const char *str;
222 struct ir3_register *reg;
223 struct {
224 int start;
225 int num;
226 } range;
227 type_t type;
228 }
229
230 %{
231 #if YYDEBUG
232 static void print_token(FILE *file, int type, YYSTYPE value)
233 {
234 fprintf(file, "\ntype: %d\n", type);
235 }
236
237 #define YYPRINT(file, type, value) print_token(file, type, value)
238 #endif
239 %}
240
241 %token <num> T_INT
242 %token <unum> T_HEX
243 %token <flt> T_FLOAT
244 %token <str> T_IDENTIFIER
245 %token <num> T_REGISTER
246 %token <num> T_CONSTANT
247
248 /* @ headers (@const/@sampler/@uniform/@varying) */
249 %token <tok> T_A_LOCALSIZE
250 %token <tok> T_A_CONST
251 %token <tok> T_A_BUF
252 %token <tok> T_A_INVOCATIONID
253 %token <tok> T_A_WGID
254 %token <tok> T_A_NUMWG
255 %token <tok> T_A_IN
256 %token <tok> T_A_OUT
257 %token <tok> T_A_TEX
258 /* todo, re-add @sampler/@uniform/@varying if needed someday */
259
260 /* src register flags */
261 %token <tok> T_ABSNEG
262 %token <tok> T_NEG
263 %token <tok> T_ABS
264 %token <tok> T_R
265
266 /* dst register flags */
267 %token <tok> T_EVEN
268 %token <tok> T_POS_INFINITY
269 %token <tok> T_EI
270 %token <num> T_WRMASK
271
272 /* instruction flags */
273 %token <tok> T_SY
274 %token <tok> T_SS
275 %token <tok> T_JP
276 %token <num> T_RPT
277 %token <tok> T_UL
278 %token <tok> T_NOP
279
280 /* category 0: */
281 %token <tok> T_OP_NOP
282 %token <tok> T_OP_BR
283 %token <tok> T_OP_JUMP
284 %token <tok> T_OP_CALL
285 %token <tok> T_OP_RET
286 %token <tok> T_OP_KILL
287 %token <tok> T_OP_END
288 %token <tok> T_OP_EMIT
289 %token <tok> T_OP_CUT
290 %token <tok> T_OP_CHMASK
291 %token <tok> T_OP_CHSH
292 %token <tok> T_OP_FLOW_REV
293
294 /* category 1: */
295 %token <tok> T_OP_MOVA
296 %token <tok> T_OP_MOV
297 %token <tok> T_OP_COV
298
299 /* category 2: */
300 %token <tok> T_OP_ADD_F
301 %token <tok> T_OP_MIN_F
302 %token <tok> T_OP_MAX_F
303 %token <tok> T_OP_MUL_F
304 %token <tok> T_OP_SIGN_F
305 %token <tok> T_OP_CMPS_F
306 %token <tok> T_OP_ABSNEG_F
307 %token <tok> T_OP_CMPV_F
308 %token <tok> T_OP_FLOOR_F
309 %token <tok> T_OP_CEIL_F
310 %token <tok> T_OP_RNDNE_F
311 %token <tok> T_OP_RNDAZ_F
312 %token <tok> T_OP_TRUNC_F
313 %token <tok> T_OP_ADD_U
314 %token <tok> T_OP_ADD_S
315 %token <tok> T_OP_SUB_U
316 %token <tok> T_OP_SUB_S
317 %token <tok> T_OP_CMPS_U
318 %token <tok> T_OP_CMPS_S
319 %token <tok> T_OP_MIN_U
320 %token <tok> T_OP_MIN_S
321 %token <tok> T_OP_MAX_U
322 %token <tok> T_OP_MAX_S
323 %token <tok> T_OP_ABSNEG_S
324 %token <tok> T_OP_AND_B
325 %token <tok> T_OP_OR_B
326 %token <tok> T_OP_NOT_B
327 %token <tok> T_OP_XOR_B
328 %token <tok> T_OP_CMPV_U
329 %token <tok> T_OP_CMPV_S
330 %token <tok> T_OP_MUL_U24
331 %token <tok> T_OP_MUL_S24
332 %token <tok> T_OP_MULL_U
333 %token <tok> T_OP_BFREV_B
334 %token <tok> T_OP_CLZ_S
335 %token <tok> T_OP_CLZ_B
336 %token <tok> T_OP_SHL_B
337 %token <tok> T_OP_SHR_B
338 %token <tok> T_OP_ASHR_B
339 %token <tok> T_OP_BARY_F
340 %token <tok> T_OP_MGEN_B
341 %token <tok> T_OP_GETBIT_B
342 %token <tok> T_OP_SETRM
343 %token <tok> T_OP_CBITS_B
344 %token <tok> T_OP_SHB
345 %token <tok> T_OP_MSAD
346
347 /* category 3: */
348 %token <tok> T_OP_MAD_U16
349 %token <tok> T_OP_MADSH_U16
350 %token <tok> T_OP_MAD_S16
351 %token <tok> T_OP_MADSH_M16
352 %token <tok> T_OP_MAD_U24
353 %token <tok> T_OP_MAD_S24
354 %token <tok> T_OP_MAD_F16
355 %token <tok> T_OP_MAD_F32
356 %token <tok> T_OP_SEL_B16
357 %token <tok> T_OP_SEL_B32
358 %token <tok> T_OP_SEL_S16
359 %token <tok> T_OP_SEL_S32
360 %token <tok> T_OP_SEL_F16
361 %token <tok> T_OP_SEL_F32
362 %token <tok> T_OP_SAD_S16
363 %token <tok> T_OP_SAD_S32
364
365 /* category 4: */
366 %token <tok> T_OP_RCP
367 %token <tok> T_OP_RSQ
368 %token <tok> T_OP_LOG2
369 %token <tok> T_OP_EXP2
370 %token <tok> T_OP_SIN
371 %token <tok> T_OP_COS
372 %token <tok> T_OP_SQRT
373 %token <tok> T_OP_HRSQ
374 %token <tok> T_OP_HLOG2
375 %token <tok> T_OP_HEXP2
376
377 /* category 5: */
378 %token <tok> T_OP_ISAM
379 %token <tok> T_OP_ISAML
380 %token <tok> T_OP_ISAMM
381 %token <tok> T_OP_SAM
382 %token <tok> T_OP_SAMB
383 %token <tok> T_OP_SAML
384 %token <tok> T_OP_SAMGQ
385 %token <tok> T_OP_GETLOD
386 %token <tok> T_OP_CONV
387 %token <tok> T_OP_CONVM
388 %token <tok> T_OP_GETSIZE
389 %token <tok> T_OP_GETBUF
390 %token <tok> T_OP_GETPOS
391 %token <tok> T_OP_GETINFO
392 %token <tok> T_OP_DSX
393 %token <tok> T_OP_DSY
394 %token <tok> T_OP_GATHER4R
395 %token <tok> T_OP_GATHER4G
396 %token <tok> T_OP_GATHER4B
397 %token <tok> T_OP_GATHER4A
398 %token <tok> T_OP_SAMGP0
399 %token <tok> T_OP_SAMGP1
400 %token <tok> T_OP_SAMGP2
401 %token <tok> T_OP_SAMGP3
402 %token <tok> T_OP_DSXPP_1
403 %token <tok> T_OP_DSYPP_1
404 %token <tok> T_OP_RGETPOS
405 %token <tok> T_OP_RGETINFO
406
407 /* category 6: */
408 %token <tok> T_OP_LDG
409 %token <tok> T_OP_LDL
410 %token <tok> T_OP_LDP
411 %token <tok> T_OP_STG
412 %token <tok> T_OP_STL
413 %token <tok> T_OP_STP
414 %token <tok> T_OP_LDIB
415 %token <tok> T_OP_G2L
416 %token <tok> T_OP_L2G
417 %token <tok> T_OP_PREFETCH
418 %token <tok> T_OP_LDLW
419 %token <tok> T_OP_STLW
420 %token <tok> T_OP_RESFMT
421 %token <tok> T_OP_RESINF
422 %token <tok> T_OP_ATOMIC_ADD
423 %token <tok> T_OP_ATOMIC_SUB
424 %token <tok> T_OP_ATOMIC_XCHG
425 %token <tok> T_OP_ATOMIC_INC
426 %token <tok> T_OP_ATOMIC_DEC
427 %token <tok> T_OP_ATOMIC_CMPXCHG
428 %token <tok> T_OP_ATOMIC_MIN
429 %token <tok> T_OP_ATOMIC_MAX
430 %token <tok> T_OP_ATOMIC_AND
431 %token <tok> T_OP_ATOMIC_OR
432 %token <tok> T_OP_ATOMIC_XOR
433 %token <tok> T_OP_LDGB
434 %token <tok> T_OP_STGB
435 %token <tok> T_OP_STIB
436 %token <tok> T_OP_LDC
437 %token <tok> T_OP_LDLV
438
439 /* type qualifiers: */
440 %token <tok> T_TYPE_F16
441 %token <tok> T_TYPE_F32
442 %token <tok> T_TYPE_U16
443 %token <tok> T_TYPE_U32
444 %token <tok> T_TYPE_S16
445 %token <tok> T_TYPE_S32
446 %token <tok> T_TYPE_U8
447 %token <tok> T_TYPE_S8
448
449 %token <tok> T_UNTYPED
450 %token <tok> T_TYPED
451
452 %token <tok> T_1D
453 %token <tok> T_2D
454 %token <tok> T_3D
455 %token <tok> T_4D
456
457 /* condition qualifiers: */
458 %token <tok> T_LT
459 %token <tok> T_LE
460 %token <tok> T_GT
461 %token <tok> T_GE
462 %token <tok> T_EQ
463 %token <tok> T_NE
464
465 %token <tok> T_S2EN
466 %token <tok> T_SAMP
467 %token <tok> T_TEX
468 %token <tok> T_BASE
469
470 %token <tok> T_NAN
471 %token <tok> T_INF
472 %token <num> T_A0
473 %token <num> T_P0
474 %token <str> T_CAT1_TYPE_TYPE
475
476 %type <num> integer offset
477 %type <flt> float
478 %type <reg> reg const
479 %type <tok> cat1_opc
480 %type <tok> cat2_opc_1src cat2_opc_2src_cnd cat2_opc_2src
481 %type <tok> cat3_opc
482 %type <tok> cat4_opc
483 %type <tok> cat5_opc cat5_samp cat5_tex cat5_type
484 %type <type> type
485 %type <unum> const_val
486
487 %error-verbose
488
489 %start shader
490
491 %%
492
493 shader: { new_shader(); } headers instrs
494
495 headers:
496 | header headers
497
498 header: localsize_header
499 | const_header
500 | buf_header
501 | invocationid_header
502 | wgid_header
503 | numwg_header
504 | in_header
505 | out_header
506 | tex_header
507
508 const_val: T_FLOAT { $$ = fui($1); }
509 | T_INT { $$ = $1; }
510 | '-' T_INT { $$ = -$2; }
511 | T_HEX { $$ = $1; }
512
513 localsize_header: T_A_LOCALSIZE const_val ',' const_val ',' const_val {
514 info->local_size[0] = $2;
515 info->local_size[1] = $4;
516 info->local_size[2] = $6;
517 }
518
519 const_header: T_A_CONST '(' T_CONSTANT ')' const_val ',' const_val ',' const_val ',' const_val {
520 add_const($3, $5, $7, $9, $11);
521 }
522
523 buf_header: T_A_BUF const_val {
524 int idx = info->num_bufs++;
525 assert(idx < MAX_BUFS);
526 info->buf_sizes[idx] = $2;
527 }
528
529 invocationid_header: T_A_INVOCATIONID '(' T_REGISTER ')' {
530 assert(($3 & 0x1) == 0); /* half-reg not allowed */
531 unsigned reg = $3 >> 1;
532 add_sysval(reg, 0x7, SYSTEM_VALUE_LOCAL_INVOCATION_ID);
533 }
534
535 wgid_header: T_A_WGID '(' T_REGISTER ')' {
536 assert(($3 & 0x1) == 0); /* half-reg not allowed */
537 unsigned reg = $3 >> 1;
538 assert(reg >= regid(48, 0)); /* must be a high reg */
539 add_sysval(reg, 0x7, SYSTEM_VALUE_WORK_GROUP_ID);
540 }
541
542 numwg_header: T_A_NUMWG '(' T_CONSTANT ')' {
543 assert(($3 & 0x1) == 0); /* half-reg not allowed */
544 unsigned reg = $3 >> 1;
545 info->numwg = reg;
546 /* reserve space in immediates for the actual value to be plugged in later: */
547 add_const($3, 0, 0, 0, 0);
548 }
549
550 /* Stubs for now */
551 in_header: T_A_IN '(' T_REGISTER ')' T_IDENTIFIER '(' T_IDENTIFIER '=' integer ')' { }
552
553 out_header: T_A_OUT '(' T_REGISTER ')' T_IDENTIFIER '(' T_IDENTIFIER '=' integer ')' { }
554
555 tex_header: T_A_TEX '(' T_REGISTER ')'
556 T_IDENTIFIER '=' integer ',' /* src */
557 T_IDENTIFIER '=' integer ',' /* samp */
558 T_IDENTIFIER '=' integer ',' /* tex */
559 T_IDENTIFIER '=' integer ',' /* wrmask */
560 T_IDENTIFIER '=' integer /* cmd */ { }
561
562 iflag: T_SY { iflags.flags |= IR3_INSTR_SY; }
563 | T_SS { iflags.flags |= IR3_INSTR_SS; }
564 | T_JP { iflags.flags |= IR3_INSTR_JP; }
565 | T_RPT { iflags.repeat = $1; }
566 | T_UL { iflags.flags |= IR3_INSTR_UL; }
567 | T_NOP { iflags.nop = $1; }
568
569 iflags:
570 | iflag iflags
571
572 instrs: instr instrs
573 | instr
574
575 instr: iflags cat0_instr
576 | iflags cat1_instr
577 | iflags cat2_instr
578 | iflags cat3_instr
579 | iflags cat4_instr
580 | iflags cat5_instr
581 | iflags cat6_instr
582
583 cat0_src: '!' T_P0 { instr->cat0.inv = true; instr->cat0.comp = $2 >> 1; }
584 | T_P0 { instr->cat0.comp = $1 >> 1; }
585
586 cat0_immed: '#' integer { instr->cat0.immed = $2; }
587
588 cat0_instr: T_OP_NOP { new_instr(OPC_NOP); }
589 | T_OP_BR { new_instr(OPC_B); } cat0_src ',' cat0_immed
590 | T_OP_JUMP { new_instr(OPC_JUMP); } cat0_immed
591 | T_OP_CALL { new_instr(OPC_CALL); } cat0_immed
592 | T_OP_RET { new_instr(OPC_RET); }
593 | T_OP_KILL { new_instr(OPC_KILL); } cat0_src
594 | T_OP_END { new_instr(OPC_END); }
595 | T_OP_EMIT { new_instr(OPC_EMIT); }
596 | T_OP_CUT { new_instr(OPC_CUT); }
597 | T_OP_CHMASK { new_instr(OPC_CHMASK); }
598 | T_OP_CHSH { new_instr(OPC_CHSH); }
599 | T_OP_FLOW_REV { new_instr(OPC_FLOW_REV); }
600
601 cat1_opc: T_OP_MOVA {
602 new_instr(OPC_MOV);
603 instr->cat1.src_type = TYPE_S16;
604 instr->cat1.dst_type = TYPE_S16;
605 }
606 | T_OP_MOV '.' T_CAT1_TYPE_TYPE {
607 parse_type_type(new_instr(OPC_MOV), $3);
608 }
609 | T_OP_COV '.' T_CAT1_TYPE_TYPE {
610 parse_type_type(new_instr(OPC_MOV), $3);
611 }
612
613 cat1_instr: cat1_opc dst_reg ',' src_reg_or_const_or_rel_or_imm
614
615 cat2_opc_1src: T_OP_ABSNEG_F { new_instr(OPC_ABSNEG_F); }
616 | T_OP_ABSNEG_S { new_instr(OPC_ABSNEG_S); }
617 | T_OP_CLZ_B { new_instr(OPC_CLZ_B); }
618 | T_OP_CLZ_S { new_instr(OPC_CLZ_S); }
619 | T_OP_SIGN_F { new_instr(OPC_SIGN_F); }
620 | T_OP_FLOOR_F { new_instr(OPC_FLOOR_F); }
621 | T_OP_CEIL_F { new_instr(OPC_CEIL_F); }
622 | T_OP_RNDNE_F { new_instr(OPC_RNDNE_F); }
623 | T_OP_RNDAZ_F { new_instr(OPC_RNDAZ_F); }
624 | T_OP_TRUNC_F { new_instr(OPC_TRUNC_F); }
625 | T_OP_NOT_B { new_instr(OPC_NOT_B); }
626 | T_OP_BFREV_B { new_instr(OPC_BFREV_B); }
627 | T_OP_SETRM { new_instr(OPC_SETRM); }
628 | T_OP_CBITS_B { new_instr(OPC_CBITS_B); }
629
630 cat2_opc_2src_cnd: T_OP_CMPS_F { new_instr(OPC_CMPS_F); }
631 | T_OP_CMPS_U { new_instr(OPC_CMPS_U); }
632 | T_OP_CMPS_S { new_instr(OPC_CMPS_S); }
633 | T_OP_CMPV_F { new_instr(OPC_CMPV_F); }
634 | T_OP_CMPV_U { new_instr(OPC_CMPV_U); }
635 | T_OP_CMPV_S { new_instr(OPC_CMPV_S); }
636
637 cat2_opc_2src: T_OP_ADD_F { new_instr(OPC_ADD_F); }
638 | T_OP_MIN_F { new_instr(OPC_MIN_F); }
639 | T_OP_MAX_F { new_instr(OPC_MAX_F); }
640 | T_OP_MUL_F { new_instr(OPC_MUL_F); }
641 | T_OP_ADD_U { new_instr(OPC_ADD_U); }
642 | T_OP_ADD_S { new_instr(OPC_ADD_S); }
643 | T_OP_SUB_U { new_instr(OPC_SUB_U); }
644 | T_OP_SUB_S { new_instr(OPC_SUB_S); }
645 | T_OP_MIN_U { new_instr(OPC_MIN_U); }
646 | T_OP_MIN_S { new_instr(OPC_MIN_S); }
647 | T_OP_MAX_U { new_instr(OPC_MAX_U); }
648 | T_OP_MAX_S { new_instr(OPC_MAX_S); }
649 | T_OP_AND_B { new_instr(OPC_AND_B); }
650 | T_OP_OR_B { new_instr(OPC_OR_B); }
651 | T_OP_XOR_B { new_instr(OPC_XOR_B); }
652 | T_OP_MUL_U24 { new_instr(OPC_MUL_U24); }
653 | T_OP_MUL_S24 { new_instr(OPC_MUL_S24); }
654 | T_OP_MULL_U { new_instr(OPC_MULL_U); }
655 | T_OP_SHL_B { new_instr(OPC_SHL_B); }
656 | T_OP_SHR_B { new_instr(OPC_SHR_B); }
657 | T_OP_ASHR_B { new_instr(OPC_ASHR_B); }
658 | T_OP_BARY_F { new_instr(OPC_BARY_F); }
659 | T_OP_MGEN_B { new_instr(OPC_MGEN_B); }
660 | T_OP_GETBIT_B { new_instr(OPC_GETBIT_B); }
661 | T_OP_SHB { new_instr(OPC_SHB); }
662 | T_OP_MSAD { new_instr(OPC_MSAD); }
663
664 cond: T_LT { instr->cat2.condition = IR3_COND_LT; }
665 | T_LE { instr->cat2.condition = IR3_COND_LE; }
666 | T_GT { instr->cat2.condition = IR3_COND_GT; }
667 | T_GE { instr->cat2.condition = IR3_COND_GE; }
668 | T_EQ { instr->cat2.condition = IR3_COND_EQ; }
669 | T_NE { instr->cat2.condition = IR3_COND_NE; }
670
671 cat2_instr: cat2_opc_1src dst_reg ',' src_reg_or_const_or_rel_or_imm
672 | cat2_opc_2src_cnd '.' cond dst_reg ',' src_reg_or_const_or_rel_or_imm ',' src_reg_or_const_or_rel_or_imm
673 | cat2_opc_2src dst_reg ',' src_reg_or_const_or_rel_or_imm ',' src_reg_or_const_or_rel_or_imm
674
675 cat3_opc: T_OP_MAD_U16 { new_instr(OPC_MAD_U16); }
676 | T_OP_MADSH_U16 { new_instr(OPC_MADSH_U16); }
677 | T_OP_MAD_S16 { new_instr(OPC_MAD_S16); }
678 | T_OP_MADSH_M16 { new_instr(OPC_MADSH_M16); }
679 | T_OP_MAD_U24 { new_instr(OPC_MAD_U24); }
680 | T_OP_MAD_S24 { new_instr(OPC_MAD_S24); }
681 | T_OP_MAD_F16 { new_instr(OPC_MAD_F16); }
682 | T_OP_MAD_F32 { new_instr(OPC_MAD_F32); }
683 | T_OP_SEL_B16 { new_instr(OPC_SEL_B16); }
684 | T_OP_SEL_B32 { new_instr(OPC_SEL_B32); }
685 | T_OP_SEL_S16 { new_instr(OPC_SEL_S16); }
686 | T_OP_SEL_S32 { new_instr(OPC_SEL_S32); }
687 | T_OP_SEL_F16 { new_instr(OPC_SEL_F16); }
688 | T_OP_SEL_F32 { new_instr(OPC_SEL_F32); }
689 | T_OP_SAD_S16 { new_instr(OPC_SAD_S16); }
690 | T_OP_SAD_S32 { new_instr(OPC_SAD_S32); }
691
692 cat3_instr: cat3_opc dst_reg ',' src_reg_or_const_or_rel ',' src_reg_or_const ',' src_reg_or_const_or_rel
693
694 cat4_opc: T_OP_RCP { new_instr(OPC_RCP); }
695 | T_OP_RSQ { new_instr(OPC_RSQ); }
696 | T_OP_LOG2 { new_instr(OPC_LOG2); }
697 | T_OP_EXP2 { new_instr(OPC_EXP2); }
698 | T_OP_SIN { new_instr(OPC_SIN); }
699 | T_OP_COS { new_instr(OPC_COS); }
700 | T_OP_SQRT { new_instr(OPC_SQRT); }
701 | T_OP_HRSQ { new_instr(OPC_HRSQ); }
702 | T_OP_HLOG2 { new_instr(OPC_HLOG2); }
703 | T_OP_HEXP2 { new_instr(OPC_HEXP2); }
704
705 cat4_instr: cat4_opc dst_reg ',' src_reg_or_const_or_rel_or_imm
706
707 cat5_opc_dsxypp: T_OP_DSXPP_1 { new_instr(OPC_DSXPP_1); }
708 | T_OP_DSYPP_1 { new_instr(OPC_DSYPP_1); }
709
710 cat5_opc: T_OP_ISAM { new_instr(OPC_ISAM); }
711 | T_OP_ISAML { new_instr(OPC_ISAML); }
712 | T_OP_ISAMM { new_instr(OPC_ISAMM); }
713 | T_OP_SAM { new_instr(OPC_SAM); }
714 | T_OP_SAMB { new_instr(OPC_SAMB); }
715 | T_OP_SAML { new_instr(OPC_SAML); }
716 | T_OP_SAMGQ { new_instr(OPC_SAMGQ); }
717 | T_OP_GETLOD { new_instr(OPC_GETLOD); }
718 | T_OP_CONV { new_instr(OPC_CONV); }
719 | T_OP_CONVM { new_instr(OPC_CONVM); }
720 | T_OP_GETSIZE { new_instr(OPC_GETSIZE); }
721 | T_OP_GETBUF { new_instr(OPC_GETBUF); }
722 | T_OP_GETPOS { new_instr(OPC_GETPOS); }
723 | T_OP_GETINFO { new_instr(OPC_GETINFO); }
724 | T_OP_DSX { new_instr(OPC_DSX); }
725 | T_OP_DSY { new_instr(OPC_DSY); }
726 | T_OP_GATHER4R { new_instr(OPC_GATHER4R); }
727 | T_OP_GATHER4G { new_instr(OPC_GATHER4G); }
728 | T_OP_GATHER4B { new_instr(OPC_GATHER4B); }
729 | T_OP_GATHER4A { new_instr(OPC_GATHER4A); }
730 | T_OP_SAMGP0 { new_instr(OPC_SAMGP0); }
731 | T_OP_SAMGP1 { new_instr(OPC_SAMGP1); }
732 | T_OP_SAMGP2 { new_instr(OPC_SAMGP2); }
733 | T_OP_SAMGP3 { new_instr(OPC_SAMGP3); }
734 | T_OP_RGETPOS { new_instr(OPC_RGETPOS); }
735 | T_OP_RGETINFO { new_instr(OPC_RGETINFO); }
736
737 cat5_flag: '.' T_3D { instr->flags |= IR3_INSTR_3D; }
738 | '.' 'a' { instr->flags |= IR3_INSTR_A; }
739 | '.' 'o' { instr->flags |= IR3_INSTR_O; }
740 | '.' 'p' { instr->flags |= IR3_INSTR_P; }
741 | '.' 's' { instr->flags |= IR3_INSTR_S; }
742 | '.' T_S2EN { instr->flags |= IR3_INSTR_S2EN; }
743 | '.' T_BASE { instr->flags |= IR3_INSTR_B; instr->cat5.tex_base = $2; }
744 cat5_flags:
745 | cat5_flag cat5_flags
746
747 cat5_samp: T_SAMP { instr->cat5.samp = $1; }
748 cat5_tex: T_TEX { if (instr->flags & IR3_INSTR_B) instr->cat5.samp |= ($1 << 4); else instr->cat5.tex = $1; }
749 cat5_type: '(' type ')' { instr->cat5.type = $2; }
750
751 cat5_instr: cat5_opc_dsxypp cat5_flags dst_reg ',' src_reg
752 | cat5_opc cat5_flags cat5_type dst_reg ',' src_reg ',' src_reg ',' cat5_samp ',' cat5_tex
753 | cat5_opc cat5_flags cat5_type dst_reg ',' src_reg ',' src_reg ',' cat5_samp
754 | cat5_opc cat5_flags cat5_type dst_reg ',' src_reg ',' src_reg ',' cat5_tex
755 | cat5_opc cat5_flags cat5_type dst_reg ',' src_reg ',' src_reg
756 | cat5_opc cat5_flags cat5_type dst_reg ',' src_reg ',' cat5_samp ',' cat5_tex
757 | cat5_opc cat5_flags cat5_type dst_reg ',' src_reg ',' cat5_samp
758 | cat5_opc cat5_flags cat5_type dst_reg ',' src_reg ',' cat5_tex
759 | cat5_opc cat5_flags cat5_type dst_reg ',' src_reg
760 | cat5_opc cat5_flags cat5_type dst_reg ',' cat5_samp ',' cat5_tex
761 | cat5_opc cat5_flags cat5_type dst_reg ',' cat5_samp
762 | cat5_opc cat5_flags cat5_type dst_reg ',' cat5_tex
763 | cat5_opc cat5_flags cat5_type dst_reg
764
765 cat6_typed: '.' T_UNTYPED { instr->cat6.typed = 0; }
766 | '.' T_TYPED { instr->cat6.typed = 1; }
767
768 cat6_dim: '.' T_1D { instr->cat6.d = 1; }
769 | '.' T_2D { instr->cat6.d = 2; }
770 | '.' T_3D { instr->cat6.d = 3; }
771 | '.' T_4D { instr->cat6.d = 4; }
772
773 cat6_type: '.' type { instr->cat6.type = $2; }
774 cat6_offset: offset { instr->cat6.src_offset = $1; }
775 cat6_immed: integer { instr->cat6.iim_val = $1; }
776
777 cat6_load: T_OP_LDG { new_instr(OPC_LDG); } cat6_type dst_reg ',' 'g' '[' reg cat6_offset ']' ',' cat6_immed
778 | T_OP_LDP { new_instr(OPC_LDP); } cat6_type dst_reg ',' 'p' '[' reg cat6_offset ']' ',' cat6_immed
779 | T_OP_LDL { new_instr(OPC_LDL); } cat6_type dst_reg ',' 'l' '[' reg cat6_offset ']' ',' cat6_immed
780 | T_OP_LDLW { new_instr(OPC_LDLW); } cat6_type dst_reg ',' 'l' '[' reg cat6_offset ']' ',' cat6_immed
781 | T_OP_LDLV { new_instr(OPC_LDLV); } cat6_type dst_reg ',' 'l' '[' reg cat6_offset ']' ',' cat6_immed
782
783 // TODO some of the cat6 instructions have different syntax for a6xx..
784 //| T_OP_LDIB { new_instr(OPC_LDIB); } cat6_type dst_reg cat6_offset ',' reg ',' cat6_immed
785
786 cat6_store: T_OP_STG { new_instr(OPC_STG); } cat6_type 'g' '[' dst_reg cat6_offset ']' ',' reg ',' cat6_immed
787 | T_OP_STP { new_instr(OPC_STP); } cat6_type 'p' '[' dst_reg cat6_offset ']' ',' reg ',' cat6_immed
788 | T_OP_STL { new_instr(OPC_STL); } cat6_type 'l' '[' dst_reg cat6_offset ']' ',' reg ',' cat6_immed
789 | T_OP_STLW { new_instr(OPC_STLW); } cat6_type 'l' '[' dst_reg cat6_offset ']' ',' reg ',' cat6_immed
790
791 cat6_storeib: T_OP_STIB { new_instr(OPC_STIB); dummy_dst(); } cat6_typed cat6_dim cat6_type '.' cat6_immed'g' '[' immediate ']' '+' reg ',' reg
792
793 cat6_prefetch: T_OP_PREFETCH { new_instr(OPC_PREFETCH); new_reg(0,0); /* dummy dst */ } 'g' '[' reg cat6_offset ']' ',' cat6_immed
794
795 cat6_atomic_l_g: '.' 'g' { instr->flags |= IR3_INSTR_G; }
796 | '.' 'l' { }
797
798 cat6_atomic: T_OP_ATOMIC_ADD { new_instr(OPC_ATOMIC_ADD); } cat6_atomic_l_g cat6_type dst_reg ',' 'l' '[' reg cat6_offset ']' ',' cat6_immed
799 | T_OP_ATOMIC_SUB { new_instr(OPC_ATOMIC_SUB); } cat6_atomic_l_g cat6_type dst_reg ',' 'l' '[' reg cat6_offset ']' ',' cat6_immed
800 | T_OP_ATOMIC_XCHG { new_instr(OPC_ATOMIC_XCHG); } cat6_atomic_l_g cat6_type dst_reg ',' 'l' '[' reg cat6_offset ']' ',' cat6_immed
801 | T_OP_ATOMIC_INC { new_instr(OPC_ATOMIC_INC); } cat6_atomic_l_g cat6_type dst_reg ',' 'l' '[' reg cat6_offset ']' ',' cat6_immed
802 | T_OP_ATOMIC_DEC { new_instr(OPC_ATOMIC_DEC); } cat6_atomic_l_g cat6_type dst_reg ',' 'l' '[' reg cat6_offset ']' ',' cat6_immed
803 | T_OP_ATOMIC_CMPXCHG { new_instr(OPC_ATOMIC_CMPXCHG); }cat6_atomic_l_g cat6_type dst_reg ',' 'l' '[' reg cat6_offset ']' ',' cat6_immed
804 | T_OP_ATOMIC_MIN { new_instr(OPC_ATOMIC_MIN); } cat6_atomic_l_g cat6_type dst_reg ',' 'l' '[' reg cat6_offset ']' ',' cat6_immed
805 | T_OP_ATOMIC_MAX { new_instr(OPC_ATOMIC_MAX); } cat6_atomic_l_g cat6_type dst_reg ',' 'l' '[' reg cat6_offset ']' ',' cat6_immed
806 | T_OP_ATOMIC_AND { new_instr(OPC_ATOMIC_AND); } cat6_atomic_l_g cat6_type dst_reg ',' 'l' '[' reg cat6_offset ']' ',' cat6_immed
807 | T_OP_ATOMIC_OR { new_instr(OPC_ATOMIC_OR); } cat6_atomic_l_g cat6_type dst_reg ',' 'l' '[' reg cat6_offset ']' ',' cat6_immed
808 | T_OP_ATOMIC_XOR { new_instr(OPC_ATOMIC_XOR); } cat6_atomic_l_g cat6_type dst_reg ',' 'l' '[' reg cat6_offset ']' ',' cat6_immed
809
810 cat6_todo: T_OP_G2L { new_instr(OPC_G2L); }
811 | T_OP_L2G { new_instr(OPC_L2G); }
812 | T_OP_RESFMT { new_instr(OPC_RESFMT); }
813 | T_OP_RESINF { new_instr(OPC_RESINFO); }
814 | T_OP_LDGB { new_instr(OPC_LDGB); }
815 | T_OP_STGB { new_instr(OPC_STGB); }
816 | T_OP_LDC { new_instr(OPC_LDC); }
817
818 cat6_instr: cat6_load
819 | cat6_store
820 | cat6_storeib
821 | cat6_prefetch
822 | cat6_atomic
823 | cat6_todo
824
825 reg: T_REGISTER { $$ = new_reg($1, 0); }
826 | T_A0 { $$ = new_reg((61 << 3) + $1, IR3_REG_HALF); }
827 | T_P0 { $$ = new_reg((62 << 3) + $1, 0); }
828
829 const: T_CONSTANT { $$ = new_reg($1, IR3_REG_CONST); }
830
831 dst_reg_flag: T_EVEN { rflags.flags |= IR3_REG_EVEN; }
832 | T_POS_INFINITY { rflags.flags |= IR3_REG_POS_INF; }
833 | T_EI { rflags.flags |= IR3_REG_EI; }
834 | T_WRMASK { rflags.wrmask = $1; }
835
836 dst_reg_flags: dst_reg_flag
837 | dst_reg_flag dst_reg_flags
838
839 /* note: destination registers are always incremented in repeat */
840 dst_reg: reg { $1->flags |= IR3_REG_R; }
841 | dst_reg_flags reg { $2->flags |= IR3_REG_R; }
842
843 src_reg_flag: T_ABSNEG { rflags.flags |= IR3_REG_ABS|IR3_REG_NEGATE; }
844 | T_NEG { rflags.flags |= IR3_REG_NEGATE; }
845 | T_ABS { rflags.flags |= IR3_REG_ABS; }
846 | T_R { rflags.flags |= IR3_REG_R; }
847
848 src_reg_flags: src_reg_flag
849 | src_reg_flag src_reg_flags
850
851 src_reg: reg
852 | src_reg_flags reg
853
854 src_const: const
855 | src_reg_flags const
856
857 src_reg_or_const: src_reg
858 | src_const
859
860 src_reg_or_const_or_rel: src_reg_or_const
861 | relative
862
863 src_reg_or_const_or_rel_or_imm: src_reg_or_const_or_rel
864 | src_reg_flags immediate
865 | immediate
866
867 offset: { $$ = 0; }
868 | '+' integer { $$ = $2; }
869 | '-' integer { $$ = -$2; }
870
871 relative: 'r' '<' T_A0 offset '>' { new_reg(0, IR3_REG_RELATIV)->array.offset = $4; }
872 | 'c' '<' T_A0 offset '>' { new_reg(0, IR3_REG_RELATIV | IR3_REG_CONST)->array.offset = $4; }
873
874 immediate: integer { new_reg(0, IR3_REG_IMMED)->iim_val = $1; }
875 | '(' integer ')' { new_reg(0, IR3_REG_IMMED)->fim_val = $2; }
876 | '(' float ')' { new_reg(0, IR3_REG_IMMED)->fim_val = $2; }
877 | '(' T_NAN ')' { new_reg(0, IR3_REG_IMMED)->fim_val = NAN; }
878 | '(' T_INF ')' { new_reg(0, IR3_REG_IMMED)->fim_val = INFINITY; }
879
880 integer: T_INT { $$ = $1; }
881 | '-' T_INT { $$ = -$2; }
882 | T_HEX { $$ = $1; }
883 | '-' T_HEX { $$ = -$2; }
884
885 float: T_FLOAT { $$ = $1; }
886 | '-' T_FLOAT { $$ = -$2; }
887
888 type: T_TYPE_F16 { $$ = TYPE_F16; }
889 | T_TYPE_F32 { $$ = TYPE_F32; }
890 | T_TYPE_U16 { $$ = TYPE_U16; }
891 | T_TYPE_U32 { $$ = TYPE_U32; }
892 | T_TYPE_S16 { $$ = TYPE_S16; }
893 | T_TYPE_S32 { $$ = TYPE_S32; }
894 | T_TYPE_U8 { $$ = TYPE_U8; }
895 | T_TYPE_S8 { $$ = TYPE_S8; }