i965/cnl: Make URB {VS, GS, HS, DS} sizes non multiple of 3
[mesa.git] / src / intel / compiler / brw_vec4.cpp
1 /*
2 * Copyright © 2011 Intel Corporation
3 *
4 * Permission is hereby granted, free of charge, to any person obtaining a
5 * copy of this software and associated documentation files (the "Software"),
6 * to deal in the Software without restriction, including without limitation
7 * the rights to use, copy, modify, merge, publish, distribute, sublicense,
8 * and/or sell copies of the Software, and to permit persons to whom the
9 * Software is furnished to do so, subject to the following conditions:
10 *
11 * The above copyright notice and this permission notice (including the next
12 * paragraph) shall be included in all copies or substantial portions of the
13 * Software.
14 *
15 * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
16 * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
17 * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL
18 * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
19 * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
20 * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS
21 * IN THE SOFTWARE.
22 */
23
24 #include "brw_vec4.h"
25 #include "brw_fs.h"
26 #include "brw_cfg.h"
27 #include "brw_nir.h"
28 #include "brw_vec4_builder.h"
29 #include "brw_vec4_live_variables.h"
30 #include "brw_vec4_vs.h"
31 #include "brw_dead_control_flow.h"
32 #include "common/gen_debug.h"
33 #include "program/prog_parameter.h"
34
35 #define MAX_INSTRUCTION (1 << 30)
36
37 using namespace brw;
38
39 namespace brw {
40
41 void
42 src_reg::init()
43 {
44 memset(this, 0, sizeof(*this));
45
46 this->file = BAD_FILE;
47 }
48
49 src_reg::src_reg(enum brw_reg_file file, int nr, const glsl_type *type)
50 {
51 init();
52
53 this->file = file;
54 this->nr = nr;
55 if (type && (type->is_scalar() || type->is_vector() || type->is_matrix()))
56 this->swizzle = brw_swizzle_for_size(type->vector_elements);
57 else
58 this->swizzle = BRW_SWIZZLE_XYZW;
59 if (type)
60 this->type = brw_type_for_base_type(type);
61 }
62
63 /** Generic unset register constructor. */
64 src_reg::src_reg()
65 {
66 init();
67 }
68
69 src_reg::src_reg(struct ::brw_reg reg) :
70 backend_reg(reg)
71 {
72 this->offset = 0;
73 this->reladdr = NULL;
74 }
75
76 src_reg::src_reg(const dst_reg &reg) :
77 backend_reg(reg)
78 {
79 this->reladdr = reg.reladdr;
80 this->swizzle = brw_swizzle_for_mask(reg.writemask);
81 }
82
83 void
84 dst_reg::init()
85 {
86 memset(this, 0, sizeof(*this));
87 this->file = BAD_FILE;
88 this->writemask = WRITEMASK_XYZW;
89 }
90
91 dst_reg::dst_reg()
92 {
93 init();
94 }
95
96 dst_reg::dst_reg(enum brw_reg_file file, int nr)
97 {
98 init();
99
100 this->file = file;
101 this->nr = nr;
102 }
103
104 dst_reg::dst_reg(enum brw_reg_file file, int nr, const glsl_type *type,
105 unsigned writemask)
106 {
107 init();
108
109 this->file = file;
110 this->nr = nr;
111 this->type = brw_type_for_base_type(type);
112 this->writemask = writemask;
113 }
114
115 dst_reg::dst_reg(enum brw_reg_file file, int nr, brw_reg_type type,
116 unsigned writemask)
117 {
118 init();
119
120 this->file = file;
121 this->nr = nr;
122 this->type = type;
123 this->writemask = writemask;
124 }
125
126 dst_reg::dst_reg(struct ::brw_reg reg) :
127 backend_reg(reg)
128 {
129 this->offset = 0;
130 this->reladdr = NULL;
131 }
132
133 dst_reg::dst_reg(const src_reg &reg) :
134 backend_reg(reg)
135 {
136 this->writemask = brw_mask_for_swizzle(reg.swizzle);
137 this->reladdr = reg.reladdr;
138 }
139
140 bool
141 dst_reg::equals(const dst_reg &r) const
142 {
143 return (this->backend_reg::equals(r) &&
144 (reladdr == r.reladdr ||
145 (reladdr && r.reladdr && reladdr->equals(*r.reladdr))));
146 }
147
148 bool
149 vec4_instruction::is_send_from_grf()
150 {
151 switch (opcode) {
152 case SHADER_OPCODE_SHADER_TIME_ADD:
153 case VS_OPCODE_PULL_CONSTANT_LOAD_GEN7:
154 case SHADER_OPCODE_UNTYPED_ATOMIC:
155 case SHADER_OPCODE_UNTYPED_SURFACE_READ:
156 case SHADER_OPCODE_UNTYPED_SURFACE_WRITE:
157 case SHADER_OPCODE_TYPED_ATOMIC:
158 case SHADER_OPCODE_TYPED_SURFACE_READ:
159 case SHADER_OPCODE_TYPED_SURFACE_WRITE:
160 case VEC4_OPCODE_URB_READ:
161 case TCS_OPCODE_URB_WRITE:
162 case TCS_OPCODE_RELEASE_INPUT:
163 case SHADER_OPCODE_BARRIER:
164 return true;
165 default:
166 return false;
167 }
168 }
169
170 /**
171 * Returns true if this instruction's sources and destinations cannot
172 * safely be the same register.
173 *
174 * In most cases, a register can be written over safely by the same
175 * instruction that is its last use. For a single instruction, the
176 * sources are dereferenced before writing of the destination starts
177 * (naturally).
178 *
179 * However, there are a few cases where this can be problematic:
180 *
181 * - Virtual opcodes that translate to multiple instructions in the
182 * code generator: if src == dst and one instruction writes the
183 * destination before a later instruction reads the source, then
184 * src will have been clobbered.
185 *
186 * The register allocator uses this information to set up conflicts between
187 * GRF sources and the destination.
188 */
189 bool
190 vec4_instruction::has_source_and_destination_hazard() const
191 {
192 switch (opcode) {
193 case TCS_OPCODE_SET_INPUT_URB_OFFSETS:
194 case TCS_OPCODE_SET_OUTPUT_URB_OFFSETS:
195 case TES_OPCODE_ADD_INDIRECT_URB_OFFSET:
196 return true;
197 default:
198 /* 8-wide compressed DF operations are executed as two 4-wide operations,
199 * so we have a src/dst hazard if the first half of the instruction
200 * overwrites the source of the second half. Prevent this by marking
201 * compressed instructions as having src/dst hazards, so the register
202 * allocator assigns safe register regions for dst and srcs.
203 */
204 return size_written > REG_SIZE;
205 }
206 }
207
208 unsigned
209 vec4_instruction::size_read(unsigned arg) const
210 {
211 switch (opcode) {
212 case SHADER_OPCODE_SHADER_TIME_ADD:
213 case SHADER_OPCODE_UNTYPED_ATOMIC:
214 case SHADER_OPCODE_UNTYPED_SURFACE_READ:
215 case SHADER_OPCODE_UNTYPED_SURFACE_WRITE:
216 case SHADER_OPCODE_TYPED_ATOMIC:
217 case SHADER_OPCODE_TYPED_SURFACE_READ:
218 case SHADER_OPCODE_TYPED_SURFACE_WRITE:
219 case TCS_OPCODE_URB_WRITE:
220 if (arg == 0)
221 return mlen * REG_SIZE;
222 break;
223 case VS_OPCODE_PULL_CONSTANT_LOAD_GEN7:
224 if (arg == 1)
225 return mlen * REG_SIZE;
226 break;
227 default:
228 break;
229 }
230
231 switch (src[arg].file) {
232 case BAD_FILE:
233 return 0;
234 case IMM:
235 case UNIFORM:
236 return 4 * type_sz(src[arg].type);
237 default:
238 /* XXX - Represent actual vertical stride. */
239 return exec_size * type_sz(src[arg].type);
240 }
241 }
242
243 bool
244 vec4_instruction::can_do_source_mods(const struct gen_device_info *devinfo)
245 {
246 if (devinfo->gen == 6 && is_math())
247 return false;
248
249 if (is_send_from_grf())
250 return false;
251
252 if (!backend_instruction::can_do_source_mods())
253 return false;
254
255 return true;
256 }
257
258 bool
259 vec4_instruction::can_do_writemask(const struct gen_device_info *devinfo)
260 {
261 switch (opcode) {
262 case SHADER_OPCODE_GEN4_SCRATCH_READ:
263 case VEC4_OPCODE_DOUBLE_TO_F32:
264 case VEC4_OPCODE_DOUBLE_TO_D32:
265 case VEC4_OPCODE_DOUBLE_TO_U32:
266 case VEC4_OPCODE_TO_DOUBLE:
267 case VEC4_OPCODE_PICK_LOW_32BIT:
268 case VEC4_OPCODE_PICK_HIGH_32BIT:
269 case VEC4_OPCODE_SET_LOW_32BIT:
270 case VEC4_OPCODE_SET_HIGH_32BIT:
271 case VS_OPCODE_PULL_CONSTANT_LOAD:
272 case VS_OPCODE_PULL_CONSTANT_LOAD_GEN7:
273 case VS_OPCODE_SET_SIMD4X2_HEADER_GEN9:
274 case TCS_OPCODE_SET_INPUT_URB_OFFSETS:
275 case TCS_OPCODE_SET_OUTPUT_URB_OFFSETS:
276 case TES_OPCODE_CREATE_INPUT_READ_HEADER:
277 case TES_OPCODE_ADD_INDIRECT_URB_OFFSET:
278 case VEC4_OPCODE_URB_READ:
279 case SHADER_OPCODE_MOV_INDIRECT:
280 return false;
281 default:
282 /* The MATH instruction on Gen6 only executes in align1 mode, which does
283 * not support writemasking.
284 */
285 if (devinfo->gen == 6 && is_math())
286 return false;
287
288 if (is_tex())
289 return false;
290
291 return true;
292 }
293 }
294
295 bool
296 vec4_instruction::can_change_types() const
297 {
298 return dst.type == src[0].type &&
299 !src[0].abs && !src[0].negate && !saturate &&
300 (opcode == BRW_OPCODE_MOV ||
301 (opcode == BRW_OPCODE_SEL &&
302 dst.type == src[1].type &&
303 predicate != BRW_PREDICATE_NONE &&
304 !src[1].abs && !src[1].negate));
305 }
306
307 /**
308 * Returns how many MRFs an opcode will write over.
309 *
310 * Note that this is not the 0 or 1 implied writes in an actual gen
311 * instruction -- the generate_* functions generate additional MOVs
312 * for setup.
313 */
314 int
315 vec4_visitor::implied_mrf_writes(vec4_instruction *inst)
316 {
317 if (inst->mlen == 0 || inst->is_send_from_grf())
318 return 0;
319
320 switch (inst->opcode) {
321 case SHADER_OPCODE_RCP:
322 case SHADER_OPCODE_RSQ:
323 case SHADER_OPCODE_SQRT:
324 case SHADER_OPCODE_EXP2:
325 case SHADER_OPCODE_LOG2:
326 case SHADER_OPCODE_SIN:
327 case SHADER_OPCODE_COS:
328 return 1;
329 case SHADER_OPCODE_INT_QUOTIENT:
330 case SHADER_OPCODE_INT_REMAINDER:
331 case SHADER_OPCODE_POW:
332 case TCS_OPCODE_THREAD_END:
333 return 2;
334 case VS_OPCODE_URB_WRITE:
335 return 1;
336 case VS_OPCODE_PULL_CONSTANT_LOAD:
337 return 2;
338 case SHADER_OPCODE_GEN4_SCRATCH_READ:
339 return 2;
340 case SHADER_OPCODE_GEN4_SCRATCH_WRITE:
341 return 3;
342 case GS_OPCODE_URB_WRITE:
343 case GS_OPCODE_URB_WRITE_ALLOCATE:
344 case GS_OPCODE_THREAD_END:
345 return 0;
346 case GS_OPCODE_FF_SYNC:
347 return 1;
348 case TCS_OPCODE_URB_WRITE:
349 return 0;
350 case SHADER_OPCODE_SHADER_TIME_ADD:
351 return 0;
352 case SHADER_OPCODE_TEX:
353 case SHADER_OPCODE_TXL:
354 case SHADER_OPCODE_TXD:
355 case SHADER_OPCODE_TXF:
356 case SHADER_OPCODE_TXF_CMS:
357 case SHADER_OPCODE_TXF_CMS_W:
358 case SHADER_OPCODE_TXF_MCS:
359 case SHADER_OPCODE_TXS:
360 case SHADER_OPCODE_TG4:
361 case SHADER_OPCODE_TG4_OFFSET:
362 case SHADER_OPCODE_SAMPLEINFO:
363 case VS_OPCODE_GET_BUFFER_SIZE:
364 return inst->header_size;
365 default:
366 unreachable("not reached");
367 }
368 }
369
370 bool
371 src_reg::equals(const src_reg &r) const
372 {
373 return (this->backend_reg::equals(r) &&
374 !reladdr && !r.reladdr);
375 }
376
377 bool
378 vec4_visitor::opt_vector_float()
379 {
380 bool progress = false;
381
382 foreach_block(block, cfg) {
383 int last_reg = -1, last_offset = -1;
384 enum brw_reg_file last_reg_file = BAD_FILE;
385
386 uint8_t imm[4] = { 0 };
387 int inst_count = 0;
388 vec4_instruction *imm_inst[4];
389 unsigned writemask = 0;
390 enum brw_reg_type dest_type = BRW_REGISTER_TYPE_F;
391
392 foreach_inst_in_block_safe(vec4_instruction, inst, block) {
393 int vf = -1;
394 enum brw_reg_type need_type;
395
396 /* Look for unconditional MOVs from an immediate with a partial
397 * writemask. Skip type-conversion MOVs other than integer 0,
398 * where the type doesn't matter. See if the immediate can be
399 * represented as a VF.
400 */
401 if (inst->opcode == BRW_OPCODE_MOV &&
402 inst->src[0].file == IMM &&
403 inst->predicate == BRW_PREDICATE_NONE &&
404 inst->dst.writemask != WRITEMASK_XYZW &&
405 type_sz(inst->src[0].type) < 8 &&
406 (inst->src[0].type == inst->dst.type || inst->src[0].d == 0)) {
407
408 vf = brw_float_to_vf(inst->src[0].d);
409 need_type = BRW_REGISTER_TYPE_D;
410
411 if (vf == -1) {
412 vf = brw_float_to_vf(inst->src[0].f);
413 need_type = BRW_REGISTER_TYPE_F;
414 }
415 } else {
416 last_reg = -1;
417 }
418
419 /* If this wasn't a MOV, or the destination register doesn't match,
420 * or we have to switch destination types, then this breaks our
421 * sequence. Combine anything we've accumulated so far.
422 */
423 if (last_reg != inst->dst.nr ||
424 last_offset != inst->dst.offset ||
425 last_reg_file != inst->dst.file ||
426 (vf > 0 && dest_type != need_type)) {
427
428 if (inst_count > 1) {
429 unsigned vf;
430 memcpy(&vf, imm, sizeof(vf));
431 vec4_instruction *mov = MOV(imm_inst[0]->dst, brw_imm_vf(vf));
432 mov->dst.type = dest_type;
433 mov->dst.writemask = writemask;
434 inst->insert_before(block, mov);
435
436 for (int i = 0; i < inst_count; i++) {
437 imm_inst[i]->remove(block);
438 }
439
440 progress = true;
441 }
442
443 inst_count = 0;
444 last_reg = -1;
445 writemask = 0;
446 dest_type = BRW_REGISTER_TYPE_F;
447
448 for (int i = 0; i < 4; i++) {
449 imm[i] = 0;
450 }
451 }
452
453 /* Record this instruction's value (if it was representable). */
454 if (vf != -1) {
455 if ((inst->dst.writemask & WRITEMASK_X) != 0)
456 imm[0] = vf;
457 if ((inst->dst.writemask & WRITEMASK_Y) != 0)
458 imm[1] = vf;
459 if ((inst->dst.writemask & WRITEMASK_Z) != 0)
460 imm[2] = vf;
461 if ((inst->dst.writemask & WRITEMASK_W) != 0)
462 imm[3] = vf;
463
464 writemask |= inst->dst.writemask;
465 imm_inst[inst_count++] = inst;
466
467 last_reg = inst->dst.nr;
468 last_offset = inst->dst.offset;
469 last_reg_file = inst->dst.file;
470 if (vf > 0)
471 dest_type = need_type;
472 }
473 }
474 }
475
476 if (progress)
477 invalidate_live_intervals();
478
479 return progress;
480 }
481
482 /* Replaces unused channels of a swizzle with channels that are used.
483 *
484 * For instance, this pass transforms
485 *
486 * mov vgrf4.yz, vgrf5.wxzy
487 *
488 * into
489 *
490 * mov vgrf4.yz, vgrf5.xxzx
491 *
492 * This eliminates false uses of some channels, letting dead code elimination
493 * remove the instructions that wrote them.
494 */
495 bool
496 vec4_visitor::opt_reduce_swizzle()
497 {
498 bool progress = false;
499
500 foreach_block_and_inst_safe(block, vec4_instruction, inst, cfg) {
501 if (inst->dst.file == BAD_FILE ||
502 inst->dst.file == ARF ||
503 inst->dst.file == FIXED_GRF ||
504 inst->is_send_from_grf())
505 continue;
506
507 unsigned swizzle;
508
509 /* Determine which channels of the sources are read. */
510 switch (inst->opcode) {
511 case VEC4_OPCODE_PACK_BYTES:
512 case BRW_OPCODE_DP4:
513 case BRW_OPCODE_DPH: /* FINISHME: DPH reads only three channels of src0,
514 * but all four of src1.
515 */
516 swizzle = brw_swizzle_for_size(4);
517 break;
518 case BRW_OPCODE_DP3:
519 swizzle = brw_swizzle_for_size(3);
520 break;
521 case BRW_OPCODE_DP2:
522 swizzle = brw_swizzle_for_size(2);
523 break;
524
525 case VEC4_OPCODE_TO_DOUBLE:
526 case VEC4_OPCODE_DOUBLE_TO_F32:
527 case VEC4_OPCODE_DOUBLE_TO_D32:
528 case VEC4_OPCODE_DOUBLE_TO_U32:
529 case VEC4_OPCODE_PICK_LOW_32BIT:
530 case VEC4_OPCODE_PICK_HIGH_32BIT:
531 case VEC4_OPCODE_SET_LOW_32BIT:
532 case VEC4_OPCODE_SET_HIGH_32BIT:
533 swizzle = brw_swizzle_for_size(4);
534 break;
535
536 default:
537 swizzle = brw_swizzle_for_mask(inst->dst.writemask);
538 break;
539 }
540
541 /* Update sources' swizzles. */
542 for (int i = 0; i < 3; i++) {
543 if (inst->src[i].file != VGRF &&
544 inst->src[i].file != ATTR &&
545 inst->src[i].file != UNIFORM)
546 continue;
547
548 const unsigned new_swizzle =
549 brw_compose_swizzle(swizzle, inst->src[i].swizzle);
550 if (inst->src[i].swizzle != new_swizzle) {
551 inst->src[i].swizzle = new_swizzle;
552 progress = true;
553 }
554 }
555 }
556
557 if (progress)
558 invalidate_live_intervals();
559
560 return progress;
561 }
562
563 void
564 vec4_visitor::split_uniform_registers()
565 {
566 /* Prior to this, uniforms have been in an array sized according to
567 * the number of vector uniforms present, sparsely filled (so an
568 * aggregate results in reg indices being skipped over). Now we're
569 * going to cut those aggregates up so each .nr index is one
570 * vector. The goal is to make elimination of unused uniform
571 * components easier later.
572 */
573 foreach_block_and_inst(block, vec4_instruction, inst, cfg) {
574 for (int i = 0 ; i < 3; i++) {
575 if (inst->src[i].file != UNIFORM)
576 continue;
577
578 assert(!inst->src[i].reladdr);
579
580 inst->src[i].nr += inst->src[i].offset / 16;
581 inst->src[i].offset %= 16;
582 }
583 }
584 }
585
586 /* This function returns the register number where we placed the uniform */
587 static int
588 set_push_constant_loc(const int nr_uniforms, int *new_uniform_count,
589 const int src, const int size, const int channel_size,
590 int *new_loc, int *new_chan,
591 int *new_chans_used)
592 {
593 int dst;
594 /* Find the lowest place we can slot this uniform in. */
595 for (dst = 0; dst < nr_uniforms; dst++) {
596 if (ALIGN(new_chans_used[dst], channel_size) + size <= 4)
597 break;
598 }
599
600 assert(dst < nr_uniforms);
601
602 new_loc[src] = dst;
603 new_chan[src] = ALIGN(new_chans_used[dst], channel_size);
604 new_chans_used[dst] = ALIGN(new_chans_used[dst], channel_size) + size;
605
606 *new_uniform_count = MAX2(*new_uniform_count, dst + 1);
607 return dst;
608 }
609
610 void
611 vec4_visitor::pack_uniform_registers()
612 {
613 uint8_t chans_used[this->uniforms];
614 int new_loc[this->uniforms];
615 int new_chan[this->uniforms];
616 bool is_aligned_to_dvec4[this->uniforms];
617 int new_chans_used[this->uniforms];
618 int channel_sizes[this->uniforms];
619
620 memset(chans_used, 0, sizeof(chans_used));
621 memset(new_loc, 0, sizeof(new_loc));
622 memset(new_chan, 0, sizeof(new_chan));
623 memset(new_chans_used, 0, sizeof(new_chans_used));
624 memset(is_aligned_to_dvec4, 0, sizeof(is_aligned_to_dvec4));
625 memset(channel_sizes, 0, sizeof(channel_sizes));
626
627 /* Find which uniform vectors are actually used by the program. We
628 * expect unused vector elements when we've moved array access out
629 * to pull constants, and from some GLSL code generators like wine.
630 */
631 foreach_block_and_inst(block, vec4_instruction, inst, cfg) {
632 unsigned readmask;
633 switch (inst->opcode) {
634 case VEC4_OPCODE_PACK_BYTES:
635 case BRW_OPCODE_DP4:
636 case BRW_OPCODE_DPH:
637 readmask = 0xf;
638 break;
639 case BRW_OPCODE_DP3:
640 readmask = 0x7;
641 break;
642 case BRW_OPCODE_DP2:
643 readmask = 0x3;
644 break;
645 default:
646 readmask = inst->dst.writemask;
647 break;
648 }
649
650 for (int i = 0 ; i < 3; i++) {
651 if (inst->src[i].file != UNIFORM)
652 continue;
653
654 assert(type_sz(inst->src[i].type) % 4 == 0);
655 int channel_size = type_sz(inst->src[i].type) / 4;
656
657 int reg = inst->src[i].nr;
658 for (int c = 0; c < 4; c++) {
659 if (!(readmask & (1 << c)))
660 continue;
661
662 unsigned channel = BRW_GET_SWZ(inst->src[i].swizzle, c) + 1;
663 unsigned used = MAX2(chans_used[reg], channel * channel_size);
664 if (used <= 4) {
665 chans_used[reg] = used;
666 channel_sizes[reg] = MAX2(channel_sizes[reg], channel_size);
667 } else {
668 is_aligned_to_dvec4[reg] = true;
669 is_aligned_to_dvec4[reg + 1] = true;
670 chans_used[reg + 1] = used - 4;
671 channel_sizes[reg + 1] = MAX2(channel_sizes[reg + 1], channel_size);
672 }
673 }
674 }
675
676 if (inst->opcode == SHADER_OPCODE_MOV_INDIRECT &&
677 inst->src[0].file == UNIFORM) {
678 assert(inst->src[2].file == BRW_IMMEDIATE_VALUE);
679 assert(inst->src[0].subnr == 0);
680
681 unsigned bytes_read = inst->src[2].ud;
682 assert(bytes_read % 4 == 0);
683 unsigned vec4s_read = DIV_ROUND_UP(bytes_read, 16);
684
685 /* We just mark every register touched by a MOV_INDIRECT as being
686 * fully used. This ensures that it doesn't broken up piecewise by
687 * the next part of our packing algorithm.
688 */
689 int reg = inst->src[0].nr;
690 for (unsigned i = 0; i < vec4s_read; i++)
691 chans_used[reg + i] = 4;
692 }
693 }
694
695 int new_uniform_count = 0;
696
697 /* As the uniforms are going to be reordered, take the data from a temporary
698 * copy of the original param[].
699 */
700 gl_constant_value **param = ralloc_array(NULL, gl_constant_value*,
701 stage_prog_data->nr_params);
702 memcpy(param, stage_prog_data->param,
703 sizeof(gl_constant_value*) * stage_prog_data->nr_params);
704
705 /* Now, figure out a packing of the live uniform vectors into our
706 * push constants. Start with dvec{3,4} because they are aligned to
707 * dvec4 size (2 vec4).
708 */
709 for (int src = 0; src < uniforms; src++) {
710 int size = chans_used[src];
711
712 if (size == 0 || !is_aligned_to_dvec4[src])
713 continue;
714
715 /* dvec3 are aligned to dvec4 size, apply the alignment of the size
716 * to 4 to avoid moving last component of a dvec3 to the available
717 * location at the end of a previous dvec3. These available locations
718 * could be filled by smaller variables in next loop.
719 */
720 size = ALIGN(size, 4);
721 int dst = set_push_constant_loc(uniforms, &new_uniform_count,
722 src, size, channel_sizes[src],
723 new_loc, new_chan,
724 new_chans_used);
725 /* Move the references to the data */
726 for (int j = 0; j < size; j++) {
727 stage_prog_data->param[dst * 4 + new_chan[src] + j] =
728 param[src * 4 + j];
729 }
730 }
731
732 /* Continue with the rest of data, which is aligned to vec4. */
733 for (int src = 0; src < uniforms; src++) {
734 int size = chans_used[src];
735
736 if (size == 0 || is_aligned_to_dvec4[src])
737 continue;
738
739 int dst = set_push_constant_loc(uniforms, &new_uniform_count,
740 src, size, channel_sizes[src],
741 new_loc, new_chan,
742 new_chans_used);
743 /* Move the references to the data */
744 for (int j = 0; j < size; j++) {
745 stage_prog_data->param[dst * 4 + new_chan[src] + j] =
746 param[src * 4 + j];
747 }
748 }
749
750 ralloc_free(param);
751 this->uniforms = new_uniform_count;
752
753 /* Now, update the instructions for our repacked uniforms. */
754 foreach_block_and_inst(block, vec4_instruction, inst, cfg) {
755 for (int i = 0 ; i < 3; i++) {
756 int src = inst->src[i].nr;
757
758 if (inst->src[i].file != UNIFORM)
759 continue;
760
761 int chan = new_chan[src] / channel_sizes[src];
762 inst->src[i].nr = new_loc[src];
763 inst->src[i].swizzle += BRW_SWIZZLE4(chan, chan, chan, chan);
764 }
765 }
766 }
767
768 /**
769 * Does algebraic optimizations (0 * a = 0, 1 * a = a, a + 0 = a).
770 *
771 * While GLSL IR also performs this optimization, we end up with it in
772 * our instruction stream for a couple of reasons. One is that we
773 * sometimes generate silly instructions, for example in array access
774 * where we'll generate "ADD offset, index, base" even if base is 0.
775 * The other is that GLSL IR's constant propagation doesn't track the
776 * components of aggregates, so some VS patterns (initialize matrix to
777 * 0, accumulate in vertex blending factors) end up breaking down to
778 * instructions involving 0.
779 */
780 bool
781 vec4_visitor::opt_algebraic()
782 {
783 bool progress = false;
784
785 foreach_block_and_inst(block, vec4_instruction, inst, cfg) {
786 switch (inst->opcode) {
787 case BRW_OPCODE_MOV:
788 if (inst->src[0].file != IMM)
789 break;
790
791 if (inst->saturate) {
792 if (inst->dst.type != inst->src[0].type)
793 assert(!"unimplemented: saturate mixed types");
794
795 if (brw_saturate_immediate(inst->dst.type,
796 &inst->src[0].as_brw_reg())) {
797 inst->saturate = false;
798 progress = true;
799 }
800 }
801 break;
802
803 case VEC4_OPCODE_UNPACK_UNIFORM:
804 if (inst->src[0].file != UNIFORM) {
805 inst->opcode = BRW_OPCODE_MOV;
806 progress = true;
807 }
808 break;
809
810 case BRW_OPCODE_ADD:
811 if (inst->src[1].is_zero()) {
812 inst->opcode = BRW_OPCODE_MOV;
813 inst->src[1] = src_reg();
814 progress = true;
815 }
816 break;
817
818 case BRW_OPCODE_MUL:
819 if (inst->src[1].is_zero()) {
820 inst->opcode = BRW_OPCODE_MOV;
821 switch (inst->src[0].type) {
822 case BRW_REGISTER_TYPE_F:
823 inst->src[0] = brw_imm_f(0.0f);
824 break;
825 case BRW_REGISTER_TYPE_D:
826 inst->src[0] = brw_imm_d(0);
827 break;
828 case BRW_REGISTER_TYPE_UD:
829 inst->src[0] = brw_imm_ud(0u);
830 break;
831 default:
832 unreachable("not reached");
833 }
834 inst->src[1] = src_reg();
835 progress = true;
836 } else if (inst->src[1].is_one()) {
837 inst->opcode = BRW_OPCODE_MOV;
838 inst->src[1] = src_reg();
839 progress = true;
840 } else if (inst->src[1].is_negative_one()) {
841 inst->opcode = BRW_OPCODE_MOV;
842 inst->src[0].negate = !inst->src[0].negate;
843 inst->src[1] = src_reg();
844 progress = true;
845 }
846 break;
847 case BRW_OPCODE_CMP:
848 if (inst->conditional_mod == BRW_CONDITIONAL_GE &&
849 inst->src[0].abs &&
850 inst->src[0].negate &&
851 inst->src[1].is_zero()) {
852 inst->src[0].abs = false;
853 inst->src[0].negate = false;
854 inst->conditional_mod = BRW_CONDITIONAL_Z;
855 progress = true;
856 break;
857 }
858 break;
859 case SHADER_OPCODE_BROADCAST:
860 if (is_uniform(inst->src[0]) ||
861 inst->src[1].is_zero()) {
862 inst->opcode = BRW_OPCODE_MOV;
863 inst->src[1] = src_reg();
864 inst->force_writemask_all = true;
865 progress = true;
866 }
867 break;
868
869 default:
870 break;
871 }
872 }
873
874 if (progress)
875 invalidate_live_intervals();
876
877 return progress;
878 }
879
880 /**
881 * Only a limited number of hardware registers may be used for push
882 * constants, so this turns access to the overflowed constants into
883 * pull constants.
884 */
885 void
886 vec4_visitor::move_push_constants_to_pull_constants()
887 {
888 int pull_constant_loc[this->uniforms];
889
890 /* Only allow 32 registers (256 uniform components) as push constants,
891 * which is the limit on gen6.
892 *
893 * If changing this value, note the limitation about total_regs in
894 * brw_curbe.c.
895 */
896 int max_uniform_components = 32 * 8;
897 if (this->uniforms * 4 <= max_uniform_components)
898 return;
899
900 /* Make some sort of choice as to which uniforms get sent to pull
901 * constants. We could potentially do something clever here like
902 * look for the most infrequently used uniform vec4s, but leave
903 * that for later.
904 */
905 for (int i = 0; i < this->uniforms * 4; i += 4) {
906 pull_constant_loc[i / 4] = -1;
907
908 if (i >= max_uniform_components) {
909 const gl_constant_value **values = &stage_prog_data->param[i];
910
911 /* Try to find an existing copy of this uniform in the pull
912 * constants if it was part of an array access already.
913 */
914 for (unsigned int j = 0; j < stage_prog_data->nr_pull_params; j += 4) {
915 int matches;
916
917 for (matches = 0; matches < 4; matches++) {
918 if (stage_prog_data->pull_param[j + matches] != values[matches])
919 break;
920 }
921
922 if (matches == 4) {
923 pull_constant_loc[i / 4] = j / 4;
924 break;
925 }
926 }
927
928 if (pull_constant_loc[i / 4] == -1) {
929 assert(stage_prog_data->nr_pull_params % 4 == 0);
930 pull_constant_loc[i / 4] = stage_prog_data->nr_pull_params / 4;
931
932 for (int j = 0; j < 4; j++) {
933 stage_prog_data->pull_param[stage_prog_data->nr_pull_params++] =
934 values[j];
935 }
936 }
937 }
938 }
939
940 /* Now actually rewrite usage of the things we've moved to pull
941 * constants.
942 */
943 foreach_block_and_inst_safe(block, vec4_instruction, inst, cfg) {
944 for (int i = 0 ; i < 3; i++) {
945 if (inst->src[i].file != UNIFORM ||
946 pull_constant_loc[inst->src[i].nr] == -1)
947 continue;
948
949 int uniform = inst->src[i].nr;
950
951 const glsl_type *temp_type = type_sz(inst->src[i].type) == 8 ?
952 glsl_type::dvec4_type : glsl_type::vec4_type;
953 dst_reg temp = dst_reg(this, temp_type);
954
955 emit_pull_constant_load(block, inst, temp, inst->src[i],
956 pull_constant_loc[uniform], src_reg());
957
958 inst->src[i].file = temp.file;
959 inst->src[i].nr = temp.nr;
960 inst->src[i].offset %= 16;
961 inst->src[i].reladdr = NULL;
962 }
963 }
964
965 /* Repack push constants to remove the now-unused ones. */
966 pack_uniform_registers();
967 }
968
969 /* Conditions for which we want to avoid setting the dependency control bits */
970 bool
971 vec4_visitor::is_dep_ctrl_unsafe(const vec4_instruction *inst)
972 {
973 #define IS_DWORD(reg) \
974 (reg.type == BRW_REGISTER_TYPE_UD || \
975 reg.type == BRW_REGISTER_TYPE_D)
976
977 #define IS_64BIT(reg) (reg.file != BAD_FILE && type_sz(reg.type) == 8)
978
979 /* From the Cherryview and Broadwell PRMs:
980 *
981 * "When source or destination datatype is 64b or operation is integer DWord
982 * multiply, DepCtrl must not be used."
983 *
984 * SKL PRMs don't include this restriction, however, gen7 seems to be
985 * affected, at least by the 64b restriction, since DepCtrl with double
986 * precision instructions seems to produce GPU hangs in some cases.
987 */
988 if (devinfo->gen == 8 || devinfo->is_broxton) {
989 if (inst->opcode == BRW_OPCODE_MUL &&
990 IS_DWORD(inst->src[0]) &&
991 IS_DWORD(inst->src[1]))
992 return true;
993 }
994
995 if (devinfo->gen >= 7 && devinfo->gen <= 8) {
996 if (IS_64BIT(inst->dst) || IS_64BIT(inst->src[0]) ||
997 IS_64BIT(inst->src[1]) || IS_64BIT(inst->src[2]))
998 return true;
999 }
1000
1001 #undef IS_64BIT
1002 #undef IS_DWORD
1003
1004 if (devinfo->gen >= 8) {
1005 if (inst->opcode == BRW_OPCODE_F32TO16)
1006 return true;
1007 }
1008
1009 /*
1010 * mlen:
1011 * In the presence of send messages, totally interrupt dependency
1012 * control. They're long enough that the chance of dependency
1013 * control around them just doesn't matter.
1014 *
1015 * predicate:
1016 * From the Ivy Bridge PRM, volume 4 part 3.7, page 80:
1017 * When a sequence of NoDDChk and NoDDClr are used, the last instruction that
1018 * completes the scoreboard clear must have a non-zero execution mask. This
1019 * means, if any kind of predication can change the execution mask or channel
1020 * enable of the last instruction, the optimization must be avoided. This is
1021 * to avoid instructions being shot down the pipeline when no writes are
1022 * required.
1023 *
1024 * math:
1025 * Dependency control does not work well over math instructions.
1026 * NB: Discovered empirically
1027 */
1028 return (inst->mlen || inst->predicate || inst->is_math());
1029 }
1030
1031 /**
1032 * Sets the dependency control fields on instructions after register
1033 * allocation and before the generator is run.
1034 *
1035 * When you have a sequence of instructions like:
1036 *
1037 * DP4 temp.x vertex uniform[0]
1038 * DP4 temp.y vertex uniform[0]
1039 * DP4 temp.z vertex uniform[0]
1040 * DP4 temp.w vertex uniform[0]
1041 *
1042 * The hardware doesn't know that it can actually run the later instructions
1043 * while the previous ones are in flight, producing stalls. However, we have
1044 * manual fields we can set in the instructions that let it do so.
1045 */
1046 void
1047 vec4_visitor::opt_set_dependency_control()
1048 {
1049 vec4_instruction *last_grf_write[BRW_MAX_GRF];
1050 uint8_t grf_channels_written[BRW_MAX_GRF];
1051 vec4_instruction *last_mrf_write[BRW_MAX_GRF];
1052 uint8_t mrf_channels_written[BRW_MAX_GRF];
1053
1054 assert(prog_data->total_grf ||
1055 !"Must be called after register allocation");
1056
1057 foreach_block (block, cfg) {
1058 memset(last_grf_write, 0, sizeof(last_grf_write));
1059 memset(last_mrf_write, 0, sizeof(last_mrf_write));
1060
1061 foreach_inst_in_block (vec4_instruction, inst, block) {
1062 /* If we read from a register that we were doing dependency control
1063 * on, don't do dependency control across the read.
1064 */
1065 for (int i = 0; i < 3; i++) {
1066 int reg = inst->src[i].nr + inst->src[i].offset / REG_SIZE;
1067 if (inst->src[i].file == VGRF) {
1068 last_grf_write[reg] = NULL;
1069 } else if (inst->src[i].file == FIXED_GRF) {
1070 memset(last_grf_write, 0, sizeof(last_grf_write));
1071 break;
1072 }
1073 assert(inst->src[i].file != MRF);
1074 }
1075
1076 if (is_dep_ctrl_unsafe(inst)) {
1077 memset(last_grf_write, 0, sizeof(last_grf_write));
1078 memset(last_mrf_write, 0, sizeof(last_mrf_write));
1079 continue;
1080 }
1081
1082 /* Now, see if we can do dependency control for this instruction
1083 * against a previous one writing to its destination.
1084 */
1085 int reg = inst->dst.nr + inst->dst.offset / REG_SIZE;
1086 if (inst->dst.file == VGRF || inst->dst.file == FIXED_GRF) {
1087 if (last_grf_write[reg] &&
1088 last_grf_write[reg]->dst.offset == inst->dst.offset &&
1089 !(inst->dst.writemask & grf_channels_written[reg])) {
1090 last_grf_write[reg]->no_dd_clear = true;
1091 inst->no_dd_check = true;
1092 } else {
1093 grf_channels_written[reg] = 0;
1094 }
1095
1096 last_grf_write[reg] = inst;
1097 grf_channels_written[reg] |= inst->dst.writemask;
1098 } else if (inst->dst.file == MRF) {
1099 if (last_mrf_write[reg] &&
1100 last_mrf_write[reg]->dst.offset == inst->dst.offset &&
1101 !(inst->dst.writemask & mrf_channels_written[reg])) {
1102 last_mrf_write[reg]->no_dd_clear = true;
1103 inst->no_dd_check = true;
1104 } else {
1105 mrf_channels_written[reg] = 0;
1106 }
1107
1108 last_mrf_write[reg] = inst;
1109 mrf_channels_written[reg] |= inst->dst.writemask;
1110 }
1111 }
1112 }
1113 }
1114
1115 bool
1116 vec4_instruction::can_reswizzle(const struct gen_device_info *devinfo,
1117 int dst_writemask,
1118 int swizzle,
1119 int swizzle_mask)
1120 {
1121 /* Gen6 MATH instructions can not execute in align16 mode, so swizzles
1122 * are not allowed.
1123 */
1124 if (devinfo->gen == 6 && is_math() && swizzle != BRW_SWIZZLE_XYZW)
1125 return false;
1126
1127 /* We can't swizzle implicit accumulator access. We'd have to
1128 * reswizzle the producer of the accumulator value in addition
1129 * to the consumer (i.e. both MUL and MACH). Just skip this.
1130 */
1131 if (reads_accumulator_implicitly())
1132 return false;
1133
1134 if (!can_do_writemask(devinfo) && dst_writemask != WRITEMASK_XYZW)
1135 return false;
1136
1137 /* If this instruction sets anything not referenced by swizzle, then we'd
1138 * totally break it when we reswizzle.
1139 */
1140 if (dst.writemask & ~swizzle_mask)
1141 return false;
1142
1143 if (mlen > 0)
1144 return false;
1145
1146 for (int i = 0; i < 3; i++) {
1147 if (src[i].is_accumulator())
1148 return false;
1149 }
1150
1151 return true;
1152 }
1153
1154 /**
1155 * For any channels in the swizzle's source that were populated by this
1156 * instruction, rewrite the instruction to put the appropriate result directly
1157 * in those channels.
1158 *
1159 * e.g. for swizzle=yywx, MUL a.xy b c -> MUL a.yy_x b.yy z.yy_x
1160 */
1161 void
1162 vec4_instruction::reswizzle(int dst_writemask, int swizzle)
1163 {
1164 /* Destination write mask doesn't correspond to source swizzle for the dot
1165 * product and pack_bytes instructions.
1166 */
1167 if (opcode != BRW_OPCODE_DP4 && opcode != BRW_OPCODE_DPH &&
1168 opcode != BRW_OPCODE_DP3 && opcode != BRW_OPCODE_DP2 &&
1169 opcode != VEC4_OPCODE_PACK_BYTES) {
1170 for (int i = 0; i < 3; i++) {
1171 if (src[i].file == BAD_FILE || src[i].file == IMM)
1172 continue;
1173
1174 src[i].swizzle = brw_compose_swizzle(swizzle, src[i].swizzle);
1175 }
1176 }
1177
1178 /* Apply the specified swizzle and writemask to the original mask of
1179 * written components.
1180 */
1181 dst.writemask = dst_writemask &
1182 brw_apply_swizzle_to_mask(swizzle, dst.writemask);
1183 }
1184
1185 /*
1186 * Tries to reduce extra MOV instructions by taking temporary GRFs that get
1187 * just written and then MOVed into another reg and making the original write
1188 * of the GRF write directly to the final destination instead.
1189 */
1190 bool
1191 vec4_visitor::opt_register_coalesce()
1192 {
1193 bool progress = false;
1194 int next_ip = 0;
1195
1196 calculate_live_intervals();
1197
1198 foreach_block_and_inst_safe (block, vec4_instruction, inst, cfg) {
1199 int ip = next_ip;
1200 next_ip++;
1201
1202 if (inst->opcode != BRW_OPCODE_MOV ||
1203 (inst->dst.file != VGRF && inst->dst.file != MRF) ||
1204 inst->predicate ||
1205 inst->src[0].file != VGRF ||
1206 inst->dst.type != inst->src[0].type ||
1207 inst->src[0].abs || inst->src[0].negate || inst->src[0].reladdr)
1208 continue;
1209
1210 /* Remove no-op MOVs */
1211 if (inst->dst.file == inst->src[0].file &&
1212 inst->dst.nr == inst->src[0].nr &&
1213 inst->dst.offset == inst->src[0].offset) {
1214 bool is_nop_mov = true;
1215
1216 for (unsigned c = 0; c < 4; c++) {
1217 if ((inst->dst.writemask & (1 << c)) == 0)
1218 continue;
1219
1220 if (BRW_GET_SWZ(inst->src[0].swizzle, c) != c) {
1221 is_nop_mov = false;
1222 break;
1223 }
1224 }
1225
1226 if (is_nop_mov) {
1227 inst->remove(block);
1228 progress = true;
1229 continue;
1230 }
1231 }
1232
1233 bool to_mrf = (inst->dst.file == MRF);
1234
1235 /* Can't coalesce this GRF if someone else was going to
1236 * read it later.
1237 */
1238 if (var_range_end(var_from_reg(alloc, dst_reg(inst->src[0])), 8) > ip)
1239 continue;
1240
1241 /* We need to check interference with the final destination between this
1242 * instruction and the earliest instruction involved in writing the GRF
1243 * we're eliminating. To do that, keep track of which of our source
1244 * channels we've seen initialized.
1245 */
1246 const unsigned chans_needed =
1247 brw_apply_inv_swizzle_to_mask(inst->src[0].swizzle,
1248 inst->dst.writemask);
1249 unsigned chans_remaining = chans_needed;
1250
1251 /* Now walk up the instruction stream trying to see if we can rewrite
1252 * everything writing to the temporary to write into the destination
1253 * instead.
1254 */
1255 vec4_instruction *_scan_inst = (vec4_instruction *)inst->prev;
1256 foreach_inst_in_block_reverse_starting_from(vec4_instruction, scan_inst,
1257 inst) {
1258 _scan_inst = scan_inst;
1259
1260 if (regions_overlap(inst->src[0], inst->size_read(0),
1261 scan_inst->dst, scan_inst->size_written)) {
1262 /* Found something writing to the reg we want to coalesce away. */
1263 if (to_mrf) {
1264 /* SEND instructions can't have MRF as a destination. */
1265 if (scan_inst->mlen)
1266 break;
1267
1268 if (devinfo->gen == 6) {
1269 /* gen6 math instructions must have the destination be
1270 * VGRF, so no compute-to-MRF for them.
1271 */
1272 if (scan_inst->is_math()) {
1273 break;
1274 }
1275 }
1276 }
1277
1278 /* This doesn't handle saturation on the instruction we
1279 * want to coalesce away if the register types do not match.
1280 * But if scan_inst is a non type-converting 'mov', we can fix
1281 * the types later.
1282 */
1283 if (inst->saturate &&
1284 inst->dst.type != scan_inst->dst.type &&
1285 !(scan_inst->opcode == BRW_OPCODE_MOV &&
1286 scan_inst->dst.type == scan_inst->src[0].type))
1287 break;
1288
1289 /* Only allow coalescing between registers of the same type size.
1290 * Otherwise we would need to make the pass aware of the fact that
1291 * channel sizes are different for single and double precision.
1292 */
1293 if (type_sz(inst->src[0].type) != type_sz(scan_inst->src[0].type))
1294 break;
1295
1296 /* Check that scan_inst writes the same amount of data as the
1297 * instruction, otherwise coalescing would lead to writing a
1298 * different (larger or smaller) region of the destination
1299 */
1300 if (scan_inst->size_written != inst->size_written)
1301 break;
1302
1303 /* If we can't handle the swizzle, bail. */
1304 if (!scan_inst->can_reswizzle(devinfo, inst->dst.writemask,
1305 inst->src[0].swizzle,
1306 chans_needed)) {
1307 break;
1308 }
1309
1310 /* This only handles coalescing writes of 8 channels (1 register
1311 * for single-precision and 2 registers for double-precision)
1312 * starting at the source offset of the copy instruction.
1313 */
1314 if (DIV_ROUND_UP(scan_inst->size_written,
1315 type_sz(scan_inst->dst.type)) > 8 ||
1316 scan_inst->dst.offset != inst->src[0].offset)
1317 break;
1318
1319 /* Mark which channels we found unconditional writes for. */
1320 if (!scan_inst->predicate)
1321 chans_remaining &= ~scan_inst->dst.writemask;
1322
1323 if (chans_remaining == 0)
1324 break;
1325 }
1326
1327 /* You can't read from an MRF, so if someone else reads our MRF's
1328 * source GRF that we wanted to rewrite, that stops us. If it's a
1329 * GRF we're trying to coalesce to, we don't actually handle
1330 * rewriting sources so bail in that case as well.
1331 */
1332 bool interfered = false;
1333 for (int i = 0; i < 3; i++) {
1334 if (regions_overlap(inst->src[0], inst->size_read(0),
1335 scan_inst->src[i], scan_inst->size_read(i)))
1336 interfered = true;
1337 }
1338 if (interfered)
1339 break;
1340
1341 /* If somebody else writes the same channels of our destination here,
1342 * we can't coalesce before that.
1343 */
1344 if (regions_overlap(inst->dst, inst->size_written,
1345 scan_inst->dst, scan_inst->size_written) &&
1346 (inst->dst.writemask & scan_inst->dst.writemask) != 0) {
1347 break;
1348 }
1349
1350 /* Check for reads of the register we're trying to coalesce into. We
1351 * can't go rewriting instructions above that to put some other value
1352 * in the register instead.
1353 */
1354 if (to_mrf && scan_inst->mlen > 0) {
1355 if (inst->dst.nr >= scan_inst->base_mrf &&
1356 inst->dst.nr < scan_inst->base_mrf + scan_inst->mlen) {
1357 break;
1358 }
1359 } else {
1360 for (int i = 0; i < 3; i++) {
1361 if (regions_overlap(inst->dst, inst->size_written,
1362 scan_inst->src[i], scan_inst->size_read(i)))
1363 interfered = true;
1364 }
1365 if (interfered)
1366 break;
1367 }
1368 }
1369
1370 if (chans_remaining == 0) {
1371 /* If we've made it here, we have an MOV we want to coalesce out, and
1372 * a scan_inst pointing to the earliest instruction involved in
1373 * computing the value. Now go rewrite the instruction stream
1374 * between the two.
1375 */
1376 vec4_instruction *scan_inst = _scan_inst;
1377 while (scan_inst != inst) {
1378 if (scan_inst->dst.file == VGRF &&
1379 scan_inst->dst.nr == inst->src[0].nr &&
1380 scan_inst->dst.offset == inst->src[0].offset) {
1381 scan_inst->reswizzle(inst->dst.writemask,
1382 inst->src[0].swizzle);
1383 scan_inst->dst.file = inst->dst.file;
1384 scan_inst->dst.nr = inst->dst.nr;
1385 scan_inst->dst.offset = inst->dst.offset;
1386 if (inst->saturate &&
1387 inst->dst.type != scan_inst->dst.type) {
1388 /* If we have reached this point, scan_inst is a non
1389 * type-converting 'mov' and we can modify its register types
1390 * to match the ones in inst. Otherwise, we could have an
1391 * incorrect saturation result.
1392 */
1393 scan_inst->dst.type = inst->dst.type;
1394 scan_inst->src[0].type = inst->src[0].type;
1395 }
1396 scan_inst->saturate |= inst->saturate;
1397 }
1398 scan_inst = (vec4_instruction *)scan_inst->next;
1399 }
1400 inst->remove(block);
1401 progress = true;
1402 }
1403 }
1404
1405 if (progress)
1406 invalidate_live_intervals();
1407
1408 return progress;
1409 }
1410
1411 /**
1412 * Eliminate FIND_LIVE_CHANNEL instructions occurring outside any control
1413 * flow. We could probably do better here with some form of divergence
1414 * analysis.
1415 */
1416 bool
1417 vec4_visitor::eliminate_find_live_channel()
1418 {
1419 bool progress = false;
1420 unsigned depth = 0;
1421
1422 if (!brw_stage_has_packed_dispatch(devinfo, stage, stage_prog_data)) {
1423 /* The optimization below assumes that channel zero is live on thread
1424 * dispatch, which may not be the case if the fixed function dispatches
1425 * threads sparsely.
1426 */
1427 return false;
1428 }
1429
1430 foreach_block_and_inst_safe(block, vec4_instruction, inst, cfg) {
1431 switch (inst->opcode) {
1432 case BRW_OPCODE_IF:
1433 case BRW_OPCODE_DO:
1434 depth++;
1435 break;
1436
1437 case BRW_OPCODE_ENDIF:
1438 case BRW_OPCODE_WHILE:
1439 depth--;
1440 break;
1441
1442 case SHADER_OPCODE_FIND_LIVE_CHANNEL:
1443 if (depth == 0) {
1444 inst->opcode = BRW_OPCODE_MOV;
1445 inst->src[0] = brw_imm_d(0);
1446 inst->force_writemask_all = true;
1447 progress = true;
1448 }
1449 break;
1450
1451 default:
1452 break;
1453 }
1454 }
1455
1456 return progress;
1457 }
1458
1459 /**
1460 * Splits virtual GRFs requesting more than one contiguous physical register.
1461 *
1462 * We initially create large virtual GRFs for temporary structures, arrays,
1463 * and matrices, so that the visitor functions can add offsets to work their
1464 * way down to the actual member being accessed. But when it comes to
1465 * optimization, we'd like to treat each register as individual storage if
1466 * possible.
1467 *
1468 * So far, the only thing that might prevent splitting is a send message from
1469 * a GRF on IVB.
1470 */
1471 void
1472 vec4_visitor::split_virtual_grfs()
1473 {
1474 int num_vars = this->alloc.count;
1475 int new_virtual_grf[num_vars];
1476 bool split_grf[num_vars];
1477
1478 memset(new_virtual_grf, 0, sizeof(new_virtual_grf));
1479
1480 /* Try to split anything > 0 sized. */
1481 for (int i = 0; i < num_vars; i++) {
1482 split_grf[i] = this->alloc.sizes[i] != 1;
1483 }
1484
1485 /* Check that the instructions are compatible with the registers we're trying
1486 * to split.
1487 */
1488 foreach_block_and_inst(block, vec4_instruction, inst, cfg) {
1489 if (inst->dst.file == VGRF && regs_written(inst) > 1)
1490 split_grf[inst->dst.nr] = false;
1491
1492 for (int i = 0; i < 3; i++) {
1493 if (inst->src[i].file == VGRF && regs_read(inst, i) > 1)
1494 split_grf[inst->src[i].nr] = false;
1495 }
1496 }
1497
1498 /* Allocate new space for split regs. Note that the virtual
1499 * numbers will be contiguous.
1500 */
1501 for (int i = 0; i < num_vars; i++) {
1502 if (!split_grf[i])
1503 continue;
1504
1505 new_virtual_grf[i] = alloc.allocate(1);
1506 for (unsigned j = 2; j < this->alloc.sizes[i]; j++) {
1507 unsigned reg = alloc.allocate(1);
1508 assert(reg == new_virtual_grf[i] + j - 1);
1509 (void) reg;
1510 }
1511 this->alloc.sizes[i] = 1;
1512 }
1513
1514 foreach_block_and_inst(block, vec4_instruction, inst, cfg) {
1515 if (inst->dst.file == VGRF && split_grf[inst->dst.nr] &&
1516 inst->dst.offset / REG_SIZE != 0) {
1517 inst->dst.nr = (new_virtual_grf[inst->dst.nr] +
1518 inst->dst.offset / REG_SIZE - 1);
1519 inst->dst.offset %= REG_SIZE;
1520 }
1521 for (int i = 0; i < 3; i++) {
1522 if (inst->src[i].file == VGRF && split_grf[inst->src[i].nr] &&
1523 inst->src[i].offset / REG_SIZE != 0) {
1524 inst->src[i].nr = (new_virtual_grf[inst->src[i].nr] +
1525 inst->src[i].offset / REG_SIZE - 1);
1526 inst->src[i].offset %= REG_SIZE;
1527 }
1528 }
1529 }
1530 invalidate_live_intervals();
1531 }
1532
1533 void
1534 vec4_visitor::dump_instruction(backend_instruction *be_inst)
1535 {
1536 dump_instruction(be_inst, stderr);
1537 }
1538
1539 void
1540 vec4_visitor::dump_instruction(backend_instruction *be_inst, FILE *file)
1541 {
1542 vec4_instruction *inst = (vec4_instruction *)be_inst;
1543
1544 if (inst->predicate) {
1545 fprintf(file, "(%cf0.%d%s) ",
1546 inst->predicate_inverse ? '-' : '+',
1547 inst->flag_subreg,
1548 pred_ctrl_align16[inst->predicate]);
1549 }
1550
1551 fprintf(file, "%s(%d)", brw_instruction_name(devinfo, inst->opcode),
1552 inst->exec_size);
1553 if (inst->saturate)
1554 fprintf(file, ".sat");
1555 if (inst->conditional_mod) {
1556 fprintf(file, "%s", conditional_modifier[inst->conditional_mod]);
1557 if (!inst->predicate &&
1558 (devinfo->gen < 5 || (inst->opcode != BRW_OPCODE_SEL &&
1559 inst->opcode != BRW_OPCODE_IF &&
1560 inst->opcode != BRW_OPCODE_WHILE))) {
1561 fprintf(file, ".f0.%d", inst->flag_subreg);
1562 }
1563 }
1564 fprintf(file, " ");
1565
1566 switch (inst->dst.file) {
1567 case VGRF:
1568 fprintf(file, "vgrf%d", inst->dst.nr);
1569 break;
1570 case FIXED_GRF:
1571 fprintf(file, "g%d", inst->dst.nr);
1572 break;
1573 case MRF:
1574 fprintf(file, "m%d", inst->dst.nr);
1575 break;
1576 case ARF:
1577 switch (inst->dst.nr) {
1578 case BRW_ARF_NULL:
1579 fprintf(file, "null");
1580 break;
1581 case BRW_ARF_ADDRESS:
1582 fprintf(file, "a0.%d", inst->dst.subnr);
1583 break;
1584 case BRW_ARF_ACCUMULATOR:
1585 fprintf(file, "acc%d", inst->dst.subnr);
1586 break;
1587 case BRW_ARF_FLAG:
1588 fprintf(file, "f%d.%d", inst->dst.nr & 0xf, inst->dst.subnr);
1589 break;
1590 default:
1591 fprintf(file, "arf%d.%d", inst->dst.nr & 0xf, inst->dst.subnr);
1592 break;
1593 }
1594 break;
1595 case BAD_FILE:
1596 fprintf(file, "(null)");
1597 break;
1598 case IMM:
1599 case ATTR:
1600 case UNIFORM:
1601 unreachable("not reached");
1602 }
1603 if (inst->dst.offset ||
1604 (inst->dst.file == VGRF &&
1605 alloc.sizes[inst->dst.nr] * REG_SIZE != inst->size_written)) {
1606 const unsigned reg_size = (inst->dst.file == UNIFORM ? 16 : REG_SIZE);
1607 fprintf(file, "+%d.%d", inst->dst.offset / reg_size,
1608 inst->dst.offset % reg_size);
1609 }
1610 if (inst->dst.writemask != WRITEMASK_XYZW) {
1611 fprintf(file, ".");
1612 if (inst->dst.writemask & 1)
1613 fprintf(file, "x");
1614 if (inst->dst.writemask & 2)
1615 fprintf(file, "y");
1616 if (inst->dst.writemask & 4)
1617 fprintf(file, "z");
1618 if (inst->dst.writemask & 8)
1619 fprintf(file, "w");
1620 }
1621 fprintf(file, ":%s", brw_reg_type_letters(inst->dst.type));
1622
1623 if (inst->src[0].file != BAD_FILE)
1624 fprintf(file, ", ");
1625
1626 for (int i = 0; i < 3 && inst->src[i].file != BAD_FILE; i++) {
1627 if (inst->src[i].negate)
1628 fprintf(file, "-");
1629 if (inst->src[i].abs)
1630 fprintf(file, "|");
1631 switch (inst->src[i].file) {
1632 case VGRF:
1633 fprintf(file, "vgrf%d", inst->src[i].nr);
1634 break;
1635 case FIXED_GRF:
1636 fprintf(file, "g%d.%d", inst->src[i].nr, inst->src[i].subnr);
1637 break;
1638 case ATTR:
1639 fprintf(file, "attr%d", inst->src[i].nr);
1640 break;
1641 case UNIFORM:
1642 fprintf(file, "u%d", inst->src[i].nr);
1643 break;
1644 case IMM:
1645 switch (inst->src[i].type) {
1646 case BRW_REGISTER_TYPE_F:
1647 fprintf(file, "%fF", inst->src[i].f);
1648 break;
1649 case BRW_REGISTER_TYPE_DF:
1650 fprintf(file, "%fDF", inst->src[i].df);
1651 break;
1652 case BRW_REGISTER_TYPE_D:
1653 fprintf(file, "%dD", inst->src[i].d);
1654 break;
1655 case BRW_REGISTER_TYPE_UD:
1656 fprintf(file, "%uU", inst->src[i].ud);
1657 break;
1658 case BRW_REGISTER_TYPE_VF:
1659 fprintf(file, "[%-gF, %-gF, %-gF, %-gF]",
1660 brw_vf_to_float((inst->src[i].ud >> 0) & 0xff),
1661 brw_vf_to_float((inst->src[i].ud >> 8) & 0xff),
1662 brw_vf_to_float((inst->src[i].ud >> 16) & 0xff),
1663 brw_vf_to_float((inst->src[i].ud >> 24) & 0xff));
1664 break;
1665 default:
1666 fprintf(file, "???");
1667 break;
1668 }
1669 break;
1670 case ARF:
1671 switch (inst->src[i].nr) {
1672 case BRW_ARF_NULL:
1673 fprintf(file, "null");
1674 break;
1675 case BRW_ARF_ADDRESS:
1676 fprintf(file, "a0.%d", inst->src[i].subnr);
1677 break;
1678 case BRW_ARF_ACCUMULATOR:
1679 fprintf(file, "acc%d", inst->src[i].subnr);
1680 break;
1681 case BRW_ARF_FLAG:
1682 fprintf(file, "f%d.%d", inst->src[i].nr & 0xf, inst->src[i].subnr);
1683 break;
1684 default:
1685 fprintf(file, "arf%d.%d", inst->src[i].nr & 0xf, inst->src[i].subnr);
1686 break;
1687 }
1688 break;
1689 case BAD_FILE:
1690 fprintf(file, "(null)");
1691 break;
1692 case MRF:
1693 unreachable("not reached");
1694 }
1695
1696 if (inst->src[i].offset ||
1697 (inst->src[i].file == VGRF &&
1698 alloc.sizes[inst->src[i].nr] * REG_SIZE != inst->size_read(i))) {
1699 const unsigned reg_size = (inst->src[i].file == UNIFORM ? 16 : REG_SIZE);
1700 fprintf(file, "+%d.%d", inst->src[i].offset / reg_size,
1701 inst->src[i].offset % reg_size);
1702 }
1703
1704 if (inst->src[i].file != IMM) {
1705 static const char *chans[4] = {"x", "y", "z", "w"};
1706 fprintf(file, ".");
1707 for (int c = 0; c < 4; c++) {
1708 fprintf(file, "%s", chans[BRW_GET_SWZ(inst->src[i].swizzle, c)]);
1709 }
1710 }
1711
1712 if (inst->src[i].abs)
1713 fprintf(file, "|");
1714
1715 if (inst->src[i].file != IMM) {
1716 fprintf(file, ":%s", brw_reg_type_letters(inst->src[i].type));
1717 }
1718
1719 if (i < 2 && inst->src[i + 1].file != BAD_FILE)
1720 fprintf(file, ", ");
1721 }
1722
1723 if (inst->force_writemask_all)
1724 fprintf(file, " NoMask");
1725
1726 if (inst->exec_size != 8)
1727 fprintf(file, " group%d", inst->group);
1728
1729 fprintf(file, "\n");
1730 }
1731
1732
1733 int
1734 vec4_vs_visitor::setup_attributes(int payload_reg)
1735 {
1736 foreach_block_and_inst(block, vec4_instruction, inst, cfg) {
1737 for (int i = 0; i < 3; i++) {
1738 if (inst->src[i].file == ATTR) {
1739 assert(inst->src[i].offset % REG_SIZE == 0);
1740 int grf = payload_reg + inst->src[i].nr +
1741 inst->src[i].offset / REG_SIZE;
1742
1743 struct brw_reg reg = brw_vec8_grf(grf, 0);
1744 reg.swizzle = inst->src[i].swizzle;
1745 reg.type = inst->src[i].type;
1746 reg.abs = inst->src[i].abs;
1747 reg.negate = inst->src[i].negate;
1748 inst->src[i] = reg;
1749 }
1750 }
1751 }
1752
1753 return payload_reg + vs_prog_data->nr_attribute_slots;
1754 }
1755
1756 int
1757 vec4_visitor::setup_uniforms(int reg)
1758 {
1759 prog_data->base.dispatch_grf_start_reg = reg;
1760
1761 /* The pre-gen6 VS requires that some push constants get loaded no
1762 * matter what, or the GPU would hang.
1763 */
1764 if (devinfo->gen < 6 && this->uniforms == 0) {
1765 stage_prog_data->param =
1766 reralloc(NULL, stage_prog_data->param, const gl_constant_value *, 4);
1767 for (unsigned int i = 0; i < 4; i++) {
1768 unsigned int slot = this->uniforms * 4 + i;
1769 static gl_constant_value zero = { 0.0 };
1770 stage_prog_data->param[slot] = &zero;
1771 }
1772
1773 this->uniforms++;
1774 reg++;
1775 } else {
1776 reg += ALIGN(uniforms, 2) / 2;
1777 }
1778
1779 stage_prog_data->nr_params = this->uniforms * 4;
1780
1781 prog_data->base.curb_read_length =
1782 reg - prog_data->base.dispatch_grf_start_reg;
1783
1784 return reg;
1785 }
1786
1787 void
1788 vec4_vs_visitor::setup_payload(void)
1789 {
1790 int reg = 0;
1791
1792 /* The payload always contains important data in g0, which contains
1793 * the URB handles that are passed on to the URB write at the end
1794 * of the thread. So, we always start push constants at g1.
1795 */
1796 reg++;
1797
1798 reg = setup_uniforms(reg);
1799
1800 reg = setup_attributes(reg);
1801
1802 this->first_non_payload_grf = reg;
1803 }
1804
1805 bool
1806 vec4_visitor::lower_minmax()
1807 {
1808 assert(devinfo->gen < 6);
1809
1810 bool progress = false;
1811
1812 foreach_block_and_inst_safe(block, vec4_instruction, inst, cfg) {
1813 const vec4_builder ibld(this, block, inst);
1814
1815 if (inst->opcode == BRW_OPCODE_SEL &&
1816 inst->predicate == BRW_PREDICATE_NONE) {
1817 /* FIXME: Using CMP doesn't preserve the NaN propagation semantics of
1818 * the original SEL.L/GE instruction
1819 */
1820 ibld.CMP(ibld.null_reg_d(), inst->src[0], inst->src[1],
1821 inst->conditional_mod);
1822 inst->predicate = BRW_PREDICATE_NORMAL;
1823 inst->conditional_mod = BRW_CONDITIONAL_NONE;
1824
1825 progress = true;
1826 }
1827 }
1828
1829 if (progress)
1830 invalidate_live_intervals();
1831
1832 return progress;
1833 }
1834
1835 src_reg
1836 vec4_visitor::get_timestamp()
1837 {
1838 assert(devinfo->gen >= 7);
1839
1840 src_reg ts = src_reg(brw_reg(BRW_ARCHITECTURE_REGISTER_FILE,
1841 BRW_ARF_TIMESTAMP,
1842 0,
1843 0,
1844 0,
1845 BRW_REGISTER_TYPE_UD,
1846 BRW_VERTICAL_STRIDE_0,
1847 BRW_WIDTH_4,
1848 BRW_HORIZONTAL_STRIDE_4,
1849 BRW_SWIZZLE_XYZW,
1850 WRITEMASK_XYZW));
1851
1852 dst_reg dst = dst_reg(this, glsl_type::uvec4_type);
1853
1854 vec4_instruction *mov = emit(MOV(dst, ts));
1855 /* We want to read the 3 fields we care about (mostly field 0, but also 2)
1856 * even if it's not enabled in the dispatch.
1857 */
1858 mov->force_writemask_all = true;
1859
1860 return src_reg(dst);
1861 }
1862
1863 void
1864 vec4_visitor::emit_shader_time_begin()
1865 {
1866 current_annotation = "shader time start";
1867 shader_start_time = get_timestamp();
1868 }
1869
1870 void
1871 vec4_visitor::emit_shader_time_end()
1872 {
1873 current_annotation = "shader time end";
1874 src_reg shader_end_time = get_timestamp();
1875
1876
1877 /* Check that there weren't any timestamp reset events (assuming these
1878 * were the only two timestamp reads that happened).
1879 */
1880 src_reg reset_end = shader_end_time;
1881 reset_end.swizzle = BRW_SWIZZLE_ZZZZ;
1882 vec4_instruction *test = emit(AND(dst_null_ud(), reset_end, brw_imm_ud(1u)));
1883 test->conditional_mod = BRW_CONDITIONAL_Z;
1884
1885 emit(IF(BRW_PREDICATE_NORMAL));
1886
1887 /* Take the current timestamp and get the delta. */
1888 shader_start_time.negate = true;
1889 dst_reg diff = dst_reg(this, glsl_type::uint_type);
1890 emit(ADD(diff, shader_start_time, shader_end_time));
1891
1892 /* If there were no instructions between the two timestamp gets, the diff
1893 * is 2 cycles. Remove that overhead, so I can forget about that when
1894 * trying to determine the time taken for single instructions.
1895 */
1896 emit(ADD(diff, src_reg(diff), brw_imm_ud(-2u)));
1897
1898 emit_shader_time_write(0, src_reg(diff));
1899 emit_shader_time_write(1, brw_imm_ud(1u));
1900 emit(BRW_OPCODE_ELSE);
1901 emit_shader_time_write(2, brw_imm_ud(1u));
1902 emit(BRW_OPCODE_ENDIF);
1903 }
1904
1905 void
1906 vec4_visitor::emit_shader_time_write(int shader_time_subindex, src_reg value)
1907 {
1908 dst_reg dst =
1909 dst_reg(this, glsl_type::get_array_instance(glsl_type::vec4_type, 2));
1910
1911 dst_reg offset = dst;
1912 dst_reg time = dst;
1913 time.offset += REG_SIZE;
1914
1915 offset.type = BRW_REGISTER_TYPE_UD;
1916 int index = shader_time_index * 3 + shader_time_subindex;
1917 emit(MOV(offset, brw_imm_d(index * BRW_SHADER_TIME_STRIDE)));
1918
1919 time.type = BRW_REGISTER_TYPE_UD;
1920 emit(MOV(time, value));
1921
1922 vec4_instruction *inst =
1923 emit(SHADER_OPCODE_SHADER_TIME_ADD, dst_reg(), src_reg(dst));
1924 inst->mlen = 2;
1925 }
1926
1927 static bool
1928 is_align1_df(vec4_instruction *inst)
1929 {
1930 switch (inst->opcode) {
1931 case VEC4_OPCODE_DOUBLE_TO_F32:
1932 case VEC4_OPCODE_DOUBLE_TO_D32:
1933 case VEC4_OPCODE_DOUBLE_TO_U32:
1934 case VEC4_OPCODE_TO_DOUBLE:
1935 case VEC4_OPCODE_PICK_LOW_32BIT:
1936 case VEC4_OPCODE_PICK_HIGH_32BIT:
1937 case VEC4_OPCODE_SET_LOW_32BIT:
1938 case VEC4_OPCODE_SET_HIGH_32BIT:
1939 return true;
1940 default:
1941 return false;
1942 }
1943 }
1944
1945 void
1946 vec4_visitor::convert_to_hw_regs()
1947 {
1948 foreach_block_and_inst(block, vec4_instruction, inst, cfg) {
1949 for (int i = 0; i < 3; i++) {
1950 struct src_reg &src = inst->src[i];
1951 struct brw_reg reg;
1952 switch (src.file) {
1953 case VGRF: {
1954 reg = byte_offset(brw_vecn_grf(4, src.nr, 0), src.offset);
1955 reg.type = src.type;
1956 reg.abs = src.abs;
1957 reg.negate = src.negate;
1958 break;
1959 }
1960
1961 case UNIFORM: {
1962 reg = stride(byte_offset(brw_vec4_grf(
1963 prog_data->base.dispatch_grf_start_reg +
1964 src.nr / 2, src.nr % 2 * 4),
1965 src.offset),
1966 0, 4, 1);
1967 reg.type = src.type;
1968 reg.abs = src.abs;
1969 reg.negate = src.negate;
1970
1971 /* This should have been moved to pull constants. */
1972 assert(!src.reladdr);
1973 break;
1974 }
1975
1976 case FIXED_GRF:
1977 if (type_sz(src.type) == 8) {
1978 reg = src.as_brw_reg();
1979 break;
1980 }
1981 /* fallthrough */
1982 case ARF:
1983 case IMM:
1984 continue;
1985
1986 case BAD_FILE:
1987 /* Probably unused. */
1988 reg = brw_null_reg();
1989 reg = retype(reg, src.type);
1990 break;
1991
1992 case MRF:
1993 case ATTR:
1994 unreachable("not reached");
1995 }
1996
1997 apply_logical_swizzle(&reg, inst, i);
1998 src = reg;
1999
2000 /* From IVB PRM, vol4, part3, "General Restrictions on Regioning
2001 * Parameters":
2002 *
2003 * "If ExecSize = Width and HorzStride ≠ 0, VertStride must be set
2004 * to Width * HorzStride."
2005 *
2006 * We can break this rule with DF sources on DF align1
2007 * instructions, because the exec_size would be 4 and width is 4.
2008 * As we know we are not accessing to next GRF, it is safe to
2009 * set vstride to the formula given by the rule itself.
2010 */
2011 if (is_align1_df(inst) && (cvt(inst->exec_size) - 1) == src.width)
2012 src.vstride = src.width + src.hstride;
2013 }
2014
2015 if (inst->is_3src(devinfo)) {
2016 /* 3-src instructions with scalar sources support arbitrary subnr,
2017 * but don't actually use swizzles. Convert swizzle into subnr.
2018 * Skip this for double-precision instructions: RepCtrl=1 is not
2019 * allowed for them and needs special handling.
2020 */
2021 for (int i = 0; i < 3; i++) {
2022 if (inst->src[i].vstride == BRW_VERTICAL_STRIDE_0 &&
2023 type_sz(inst->src[i].type) < 8) {
2024 assert(brw_is_single_value_swizzle(inst->src[i].swizzle));
2025 inst->src[i].subnr += 4 * BRW_GET_SWZ(inst->src[i].swizzle, 0);
2026 }
2027 }
2028 }
2029
2030 dst_reg &dst = inst->dst;
2031 struct brw_reg reg;
2032
2033 switch (inst->dst.file) {
2034 case VGRF:
2035 reg = byte_offset(brw_vec8_grf(dst.nr, 0), dst.offset);
2036 reg.type = dst.type;
2037 reg.writemask = dst.writemask;
2038 break;
2039
2040 case MRF:
2041 reg = byte_offset(brw_message_reg(dst.nr), dst.offset);
2042 assert((reg.nr & ~BRW_MRF_COMPR4) < BRW_MAX_MRF(devinfo->gen));
2043 reg.type = dst.type;
2044 reg.writemask = dst.writemask;
2045 break;
2046
2047 case ARF:
2048 case FIXED_GRF:
2049 reg = dst.as_brw_reg();
2050 break;
2051
2052 case BAD_FILE:
2053 reg = brw_null_reg();
2054 reg = retype(reg, dst.type);
2055 break;
2056
2057 case IMM:
2058 case ATTR:
2059 case UNIFORM:
2060 unreachable("not reached");
2061 }
2062
2063 dst = reg;
2064 }
2065 }
2066
2067 static bool
2068 stage_uses_interleaved_attributes(unsigned stage,
2069 enum shader_dispatch_mode dispatch_mode)
2070 {
2071 switch (stage) {
2072 case MESA_SHADER_TESS_EVAL:
2073 return true;
2074 case MESA_SHADER_GEOMETRY:
2075 return dispatch_mode != DISPATCH_MODE_4X2_DUAL_OBJECT;
2076 default:
2077 return false;
2078 }
2079 }
2080
2081 /**
2082 * Get the closest native SIMD width supported by the hardware for instruction
2083 * \p inst. The instruction will be left untouched by
2084 * vec4_visitor::lower_simd_width() if the returned value matches the
2085 * instruction's original execution size.
2086 */
2087 static unsigned
2088 get_lowered_simd_width(const struct gen_device_info *devinfo,
2089 enum shader_dispatch_mode dispatch_mode,
2090 unsigned stage, const vec4_instruction *inst)
2091 {
2092 /* Do not split some instructions that require special handling */
2093 switch (inst->opcode) {
2094 case SHADER_OPCODE_GEN4_SCRATCH_READ:
2095 case SHADER_OPCODE_GEN4_SCRATCH_WRITE:
2096 return inst->exec_size;
2097 default:
2098 break;
2099 }
2100
2101 unsigned lowered_width = MIN2(16, inst->exec_size);
2102
2103 /* We need to split some cases of double-precision instructions that write
2104 * 2 registers. We only need to care about this in gen7 because that is the
2105 * only hardware that implements fp64 in Align16.
2106 */
2107 if (devinfo->gen == 7 && inst->size_written > REG_SIZE) {
2108 /* Align16 8-wide double-precision SEL does not work well. Verified
2109 * empirically.
2110 */
2111 if (inst->opcode == BRW_OPCODE_SEL && type_sz(inst->dst.type) == 8)
2112 lowered_width = MIN2(lowered_width, 4);
2113
2114 /* HSW PRM, 3D Media GPGPU Engine, Region Alignment Rules for Direct
2115 * Register Addressing:
2116 *
2117 * "When destination spans two registers, the source MUST span two
2118 * registers."
2119 */
2120 for (unsigned i = 0; i < 3; i++) {
2121 if (inst->src[i].file == BAD_FILE)
2122 continue;
2123 if (inst->size_read(i) <= REG_SIZE)
2124 lowered_width = MIN2(lowered_width, 4);
2125
2126 /* Interleaved attribute setups use a vertical stride of 0, which
2127 * makes them hit the associated instruction decompression bug in gen7.
2128 * Split them to prevent this.
2129 */
2130 if (inst->src[i].file == ATTR &&
2131 stage_uses_interleaved_attributes(stage, dispatch_mode))
2132 lowered_width = MIN2(lowered_width, 4);
2133 }
2134 }
2135
2136 /* IvyBridge can manage a maximum of 4 DFs per SIMD4x2 instruction, since
2137 * it doesn't support compression in Align16 mode, no matter if it has
2138 * force_writemask_all enabled or disabled (the latter is affected by the
2139 * compressed instruction bug in gen7, which is another reason to enforce
2140 * this limit).
2141 */
2142 if (devinfo->gen == 7 && !devinfo->is_haswell &&
2143 (get_exec_type_size(inst) == 8 || type_sz(inst->dst.type) == 8))
2144 lowered_width = MIN2(lowered_width, 4);
2145
2146 return lowered_width;
2147 }
2148
2149 static bool
2150 dst_src_regions_overlap(vec4_instruction *inst)
2151 {
2152 if (inst->size_written == 0)
2153 return false;
2154
2155 unsigned dst_start = inst->dst.offset;
2156 unsigned dst_end = dst_start + inst->size_written - 1;
2157 for (int i = 0; i < 3; i++) {
2158 if (inst->src[i].file == BAD_FILE)
2159 continue;
2160
2161 if (inst->dst.file != inst->src[i].file ||
2162 inst->dst.nr != inst->src[i].nr)
2163 continue;
2164
2165 unsigned src_start = inst->src[i].offset;
2166 unsigned src_end = src_start + inst->size_read(i) - 1;
2167
2168 if ((dst_start >= src_start && dst_start <= src_end) ||
2169 (dst_end >= src_start && dst_end <= src_end) ||
2170 (dst_start <= src_start && dst_end >= src_end)) {
2171 return true;
2172 }
2173 }
2174
2175 return false;
2176 }
2177
2178 bool
2179 vec4_visitor::lower_simd_width()
2180 {
2181 bool progress = false;
2182
2183 foreach_block_and_inst_safe(block, vec4_instruction, inst, cfg) {
2184 const unsigned lowered_width =
2185 get_lowered_simd_width(devinfo, prog_data->dispatch_mode, stage, inst);
2186 assert(lowered_width <= inst->exec_size);
2187 if (lowered_width == inst->exec_size)
2188 continue;
2189
2190 /* We need to deal with source / destination overlaps when splitting.
2191 * The hardware supports reading from and writing to the same register
2192 * in the same instruction, but we need to be careful that each split
2193 * instruction we produce does not corrupt the source of the next.
2194 *
2195 * The easiest way to handle this is to make the split instructions write
2196 * to temporaries if there is an src/dst overlap and then move from the
2197 * temporaries to the original destination. We also need to consider
2198 * instructions that do partial writes via align1 opcodes, in which case
2199 * we need to make sure that the we initialize the temporary with the
2200 * value of the instruction's dst.
2201 */
2202 bool needs_temp = dst_src_regions_overlap(inst);
2203 for (unsigned n = 0; n < inst->exec_size / lowered_width; n++) {
2204 unsigned channel_offset = lowered_width * n;
2205
2206 unsigned size_written = lowered_width * type_sz(inst->dst.type);
2207
2208 /* Create the split instruction from the original so that we copy all
2209 * relevant instruction fields, then set the width and calculate the
2210 * new dst/src regions.
2211 */
2212 vec4_instruction *linst = new(mem_ctx) vec4_instruction(*inst);
2213 linst->exec_size = lowered_width;
2214 linst->group = channel_offset;
2215 linst->size_written = size_written;
2216
2217 /* Compute split dst region */
2218 dst_reg dst;
2219 if (needs_temp) {
2220 unsigned num_regs = DIV_ROUND_UP(size_written, REG_SIZE);
2221 dst = retype(dst_reg(VGRF, alloc.allocate(num_regs)),
2222 inst->dst.type);
2223 if (inst->is_align1_partial_write()) {
2224 vec4_instruction *copy = MOV(dst, src_reg(inst->dst));
2225 copy->exec_size = lowered_width;
2226 copy->group = channel_offset;
2227 copy->size_written = size_written;
2228 inst->insert_before(block, copy);
2229 }
2230 } else {
2231 dst = horiz_offset(inst->dst, channel_offset);
2232 }
2233 linst->dst = dst;
2234
2235 /* Compute split source regions */
2236 for (int i = 0; i < 3; i++) {
2237 if (linst->src[i].file == BAD_FILE)
2238 continue;
2239
2240 if (!is_uniform(linst->src[i]))
2241 linst->src[i] = horiz_offset(linst->src[i], channel_offset);
2242 }
2243
2244 inst->insert_before(block, linst);
2245
2246 /* If we used a temporary to store the result of the split
2247 * instruction, copy the result to the original destination
2248 */
2249 if (needs_temp) {
2250 vec4_instruction *mov =
2251 MOV(offset(inst->dst, lowered_width, n), src_reg(dst));
2252 mov->exec_size = lowered_width;
2253 mov->group = channel_offset;
2254 mov->size_written = size_written;
2255 mov->predicate = inst->predicate;
2256 inst->insert_before(block, mov);
2257 }
2258 }
2259
2260 inst->remove(block);
2261 progress = true;
2262 }
2263
2264 if (progress)
2265 invalidate_live_intervals();
2266
2267 return progress;
2268 }
2269
2270 static brw_predicate
2271 scalarize_predicate(brw_predicate predicate, unsigned writemask)
2272 {
2273 if (predicate != BRW_PREDICATE_NORMAL)
2274 return predicate;
2275
2276 switch (writemask) {
2277 case WRITEMASK_X:
2278 return BRW_PREDICATE_ALIGN16_REPLICATE_X;
2279 case WRITEMASK_Y:
2280 return BRW_PREDICATE_ALIGN16_REPLICATE_Y;
2281 case WRITEMASK_Z:
2282 return BRW_PREDICATE_ALIGN16_REPLICATE_Z;
2283 case WRITEMASK_W:
2284 return BRW_PREDICATE_ALIGN16_REPLICATE_W;
2285 default:
2286 unreachable("invalid writemask");
2287 }
2288 }
2289
2290 /* Gen7 has a hardware decompression bug that we can exploit to represent
2291 * handful of additional swizzles natively.
2292 */
2293 static bool
2294 is_gen7_supported_64bit_swizzle(vec4_instruction *inst, unsigned arg)
2295 {
2296 switch (inst->src[arg].swizzle) {
2297 case BRW_SWIZZLE_XXXX:
2298 case BRW_SWIZZLE_YYYY:
2299 case BRW_SWIZZLE_ZZZZ:
2300 case BRW_SWIZZLE_WWWW:
2301 case BRW_SWIZZLE_XYXY:
2302 case BRW_SWIZZLE_YXYX:
2303 case BRW_SWIZZLE_ZWZW:
2304 case BRW_SWIZZLE_WZWZ:
2305 return true;
2306 default:
2307 return false;
2308 }
2309 }
2310
2311 /* 64-bit sources use regions with a width of 2. These 2 elements in each row
2312 * can be addressed using 32-bit swizzles (which is what the hardware supports)
2313 * but it also means that the swizzle we apply on the first two components of a
2314 * dvec4 is coupled with the swizzle we use for the last 2. In other words,
2315 * only some specific swizzle combinations can be natively supported.
2316 *
2317 * FIXME: we can go an step further and implement even more swizzle
2318 * variations using only partial scalarization.
2319 *
2320 * For more details see:
2321 * https://bugs.freedesktop.org/show_bug.cgi?id=92760#c82
2322 */
2323 bool
2324 vec4_visitor::is_supported_64bit_region(vec4_instruction *inst, unsigned arg)
2325 {
2326 const src_reg &src = inst->src[arg];
2327 assert(type_sz(src.type) == 8);
2328
2329 /* Uniform regions have a vstride=0. Because we use 2-wide rows with
2330 * 64-bit regions it means that we cannot access components Z/W, so
2331 * return false for any such case. Interleaved attributes will also be
2332 * mapped to GRF registers with a vstride of 0, so apply the same
2333 * treatment.
2334 */
2335 if ((is_uniform(src) ||
2336 (stage_uses_interleaved_attributes(stage, prog_data->dispatch_mode) &&
2337 src.file == ATTR)) &&
2338 (brw_mask_for_swizzle(src.swizzle) & 12))
2339 return false;
2340
2341 switch (src.swizzle) {
2342 case BRW_SWIZZLE_XYZW:
2343 case BRW_SWIZZLE_XXZZ:
2344 case BRW_SWIZZLE_YYWW:
2345 case BRW_SWIZZLE_YXWZ:
2346 return true;
2347 default:
2348 return devinfo->gen == 7 && is_gen7_supported_64bit_swizzle(inst, arg);
2349 }
2350 }
2351
2352 bool
2353 vec4_visitor::scalarize_df()
2354 {
2355 bool progress = false;
2356
2357 foreach_block_and_inst_safe(block, vec4_instruction, inst, cfg) {
2358 /* Skip DF instructions that operate in Align1 mode */
2359 if (is_align1_df(inst))
2360 continue;
2361
2362 /* Check if this is a double-precision instruction */
2363 bool is_double = type_sz(inst->dst.type) == 8;
2364 for (int arg = 0; !is_double && arg < 3; arg++) {
2365 is_double = inst->src[arg].file != BAD_FILE &&
2366 type_sz(inst->src[arg].type) == 8;
2367 }
2368
2369 if (!is_double)
2370 continue;
2371
2372 /* Skip the lowering for specific regioning scenarios that we can
2373 * support natively.
2374 */
2375 bool skip_lowering = true;
2376
2377 /* XY and ZW writemasks operate in 32-bit, which means that they don't
2378 * have a native 64-bit representation and they should always be split.
2379 */
2380 if (inst->dst.writemask == WRITEMASK_XY ||
2381 inst->dst.writemask == WRITEMASK_ZW) {
2382 skip_lowering = false;
2383 } else {
2384 for (unsigned i = 0; i < 3; i++) {
2385 if (inst->src[i].file == BAD_FILE || type_sz(inst->src[i].type) < 8)
2386 continue;
2387 skip_lowering = skip_lowering && is_supported_64bit_region(inst, i);
2388 }
2389 }
2390
2391 if (skip_lowering)
2392 continue;
2393
2394 /* Generate scalar instructions for each enabled channel */
2395 for (unsigned chan = 0; chan < 4; chan++) {
2396 unsigned chan_mask = 1 << chan;
2397 if (!(inst->dst.writemask & chan_mask))
2398 continue;
2399
2400 vec4_instruction *scalar_inst = new(mem_ctx) vec4_instruction(*inst);
2401
2402 for (unsigned i = 0; i < 3; i++) {
2403 unsigned swz = BRW_GET_SWZ(inst->src[i].swizzle, chan);
2404 scalar_inst->src[i].swizzle = BRW_SWIZZLE4(swz, swz, swz, swz);
2405 }
2406
2407 scalar_inst->dst.writemask = chan_mask;
2408
2409 if (inst->predicate != BRW_PREDICATE_NONE) {
2410 scalar_inst->predicate =
2411 scalarize_predicate(inst->predicate, chan_mask);
2412 }
2413
2414 inst->insert_before(block, scalar_inst);
2415 }
2416
2417 inst->remove(block);
2418 progress = true;
2419 }
2420
2421 if (progress)
2422 invalidate_live_intervals();
2423
2424 return progress;
2425 }
2426
2427 bool
2428 vec4_visitor::lower_64bit_mad_to_mul_add()
2429 {
2430 bool progress = false;
2431
2432 foreach_block_and_inst_safe(block, vec4_instruction, inst, cfg) {
2433 if (inst->opcode != BRW_OPCODE_MAD)
2434 continue;
2435
2436 if (type_sz(inst->dst.type) != 8)
2437 continue;
2438
2439 dst_reg mul_dst = dst_reg(this, glsl_type::dvec4_type);
2440
2441 /* Use the copy constructor so we copy all relevant instruction fields
2442 * from the original mad into the add and mul instructions
2443 */
2444 vec4_instruction *mul = new(mem_ctx) vec4_instruction(*inst);
2445 mul->opcode = BRW_OPCODE_MUL;
2446 mul->dst = mul_dst;
2447 mul->src[0] = inst->src[1];
2448 mul->src[1] = inst->src[2];
2449 mul->src[2].file = BAD_FILE;
2450
2451 vec4_instruction *add = new(mem_ctx) vec4_instruction(*inst);
2452 add->opcode = BRW_OPCODE_ADD;
2453 add->src[0] = src_reg(mul_dst);
2454 add->src[1] = inst->src[0];
2455 add->src[2].file = BAD_FILE;
2456
2457 inst->insert_before(block, mul);
2458 inst->insert_before(block, add);
2459 inst->remove(block);
2460
2461 progress = true;
2462 }
2463
2464 if (progress)
2465 invalidate_live_intervals();
2466
2467 return progress;
2468 }
2469
2470 /* The align16 hardware can only do 32-bit swizzle channels, so we need to
2471 * translate the logical 64-bit swizzle channels that we use in the Vec4 IR
2472 * to 32-bit swizzle channels in hardware registers.
2473 *
2474 * @inst and @arg identify the original vec4 IR source operand we need to
2475 * translate the swizzle for and @hw_reg is the hardware register where we
2476 * will write the hardware swizzle to use.
2477 *
2478 * This pass assumes that Align16/DF instructions have been fully scalarized
2479 * previously so there is just one 64-bit swizzle channel to deal with for any
2480 * given Vec4 IR source.
2481 */
2482 void
2483 vec4_visitor::apply_logical_swizzle(struct brw_reg *hw_reg,
2484 vec4_instruction *inst, int arg)
2485 {
2486 src_reg reg = inst->src[arg];
2487
2488 if (reg.file == BAD_FILE || reg.file == BRW_IMMEDIATE_VALUE)
2489 return;
2490
2491 /* If this is not a 64-bit operand or this is a scalar instruction we don't
2492 * need to do anything about the swizzles.
2493 */
2494 if(type_sz(reg.type) < 8 || is_align1_df(inst)) {
2495 hw_reg->swizzle = reg.swizzle;
2496 return;
2497 }
2498
2499 /* Take the 64-bit logical swizzle channel and translate it to 32-bit */
2500 assert(brw_is_single_value_swizzle(reg.swizzle) ||
2501 is_supported_64bit_region(inst, arg));
2502
2503 /* Apply the region <2, 2, 1> for GRF or <0, 2, 1> for uniforms, as align16
2504 * HW can only do 32-bit swizzle channels.
2505 */
2506 hw_reg->width = BRW_WIDTH_2;
2507
2508 if (is_supported_64bit_region(inst, arg) &&
2509 !is_gen7_supported_64bit_swizzle(inst, arg)) {
2510 /* Supported 64-bit swizzles are those such that their first two
2511 * components, when expanded to 32-bit swizzles, match the semantics
2512 * of the original 64-bit swizzle with 2-wide row regioning.
2513 */
2514 unsigned swizzle0 = BRW_GET_SWZ(reg.swizzle, 0);
2515 unsigned swizzle1 = BRW_GET_SWZ(reg.swizzle, 1);
2516 hw_reg->swizzle = BRW_SWIZZLE4(swizzle0 * 2, swizzle0 * 2 + 1,
2517 swizzle1 * 2, swizzle1 * 2 + 1);
2518 } else {
2519 /* If we got here then we have one of the following:
2520 *
2521 * 1. An unsupported swizzle, which should be single-value thanks to the
2522 * scalarization pass.
2523 *
2524 * 2. A gen7 supported swizzle. These can be single-value or double-value
2525 * swizzles. If the latter, they are never cross-dvec2 channels. For
2526 * these we always need to activate the gen7 vstride=0 exploit.
2527 */
2528 unsigned swizzle0 = BRW_GET_SWZ(reg.swizzle, 0);
2529 unsigned swizzle1 = BRW_GET_SWZ(reg.swizzle, 1);
2530 assert((swizzle0 < 2) == (swizzle1 < 2));
2531
2532 /* To gain access to Z/W components we need to select the second half
2533 * of the register and then use a X/Y swizzle to select Z/W respectively.
2534 */
2535 if (swizzle0 >= 2) {
2536 *hw_reg = suboffset(*hw_reg, 2);
2537 swizzle0 -= 2;
2538 swizzle1 -= 2;
2539 }
2540
2541 /* All gen7-specific supported swizzles require the vstride=0 exploit */
2542 if (devinfo->gen == 7 && is_gen7_supported_64bit_swizzle(inst, arg))
2543 hw_reg->vstride = BRW_VERTICAL_STRIDE_0;
2544
2545 /* Any 64-bit source with an offset at 16B is intended to address the
2546 * second half of a register and needs a vertical stride of 0 so we:
2547 *
2548 * 1. Don't violate register region restrictions.
2549 * 2. Activate the gen7 instruction decompresion bug exploit when
2550 * execsize > 4
2551 */
2552 if (hw_reg->subnr % REG_SIZE == 16) {
2553 assert(devinfo->gen == 7);
2554 hw_reg->vstride = BRW_VERTICAL_STRIDE_0;
2555 }
2556
2557 hw_reg->swizzle = BRW_SWIZZLE4(swizzle0 * 2, swizzle0 * 2 + 1,
2558 swizzle1 * 2, swizzle1 * 2 + 1);
2559 }
2560 }
2561
2562 bool
2563 vec4_visitor::run()
2564 {
2565 if (shader_time_index >= 0)
2566 emit_shader_time_begin();
2567
2568 emit_prolog();
2569
2570 emit_nir_code();
2571 if (failed)
2572 return false;
2573 base_ir = NULL;
2574
2575 emit_thread_end();
2576
2577 calculate_cfg();
2578
2579 /* Before any optimization, push array accesses out to scratch
2580 * space where we need them to be. This pass may allocate new
2581 * virtual GRFs, so we want to do it early. It also makes sure
2582 * that we have reladdr computations available for CSE, since we'll
2583 * often do repeated subexpressions for those.
2584 */
2585 move_grf_array_access_to_scratch();
2586 move_uniform_array_access_to_pull_constants();
2587
2588 pack_uniform_registers();
2589 move_push_constants_to_pull_constants();
2590 split_virtual_grfs();
2591
2592 #define OPT(pass, args...) ({ \
2593 pass_num++; \
2594 bool this_progress = pass(args); \
2595 \
2596 if (unlikely(INTEL_DEBUG & DEBUG_OPTIMIZER) && this_progress) { \
2597 char filename[64]; \
2598 snprintf(filename, 64, "%s-%s-%02d-%02d-" #pass, \
2599 stage_abbrev, nir->info.name, iteration, pass_num); \
2600 \
2601 backend_shader::dump_instructions(filename); \
2602 } \
2603 \
2604 progress = progress || this_progress; \
2605 this_progress; \
2606 })
2607
2608
2609 if (unlikely(INTEL_DEBUG & DEBUG_OPTIMIZER)) {
2610 char filename[64];
2611 snprintf(filename, 64, "%s-%s-00-00-start",
2612 stage_abbrev, nir->info.name);
2613
2614 backend_shader::dump_instructions(filename);
2615 }
2616
2617 bool progress;
2618 int iteration = 0;
2619 int pass_num = 0;
2620 do {
2621 progress = false;
2622 pass_num = 0;
2623 iteration++;
2624
2625 OPT(opt_predicated_break, this);
2626 OPT(opt_reduce_swizzle);
2627 OPT(dead_code_eliminate);
2628 OPT(dead_control_flow_eliminate, this);
2629 OPT(opt_copy_propagation);
2630 OPT(opt_cmod_propagation);
2631 OPT(opt_cse);
2632 OPT(opt_algebraic);
2633 OPT(opt_register_coalesce);
2634 OPT(eliminate_find_live_channel);
2635 } while (progress);
2636
2637 pass_num = 0;
2638
2639 if (OPT(opt_vector_float)) {
2640 OPT(opt_cse);
2641 OPT(opt_copy_propagation, false);
2642 OPT(opt_copy_propagation, true);
2643 OPT(dead_code_eliminate);
2644 }
2645
2646 if (devinfo->gen <= 5 && OPT(lower_minmax)) {
2647 OPT(opt_cmod_propagation);
2648 OPT(opt_cse);
2649 OPT(opt_copy_propagation);
2650 OPT(dead_code_eliminate);
2651 }
2652
2653 if (OPT(lower_simd_width)) {
2654 OPT(opt_copy_propagation);
2655 OPT(dead_code_eliminate);
2656 }
2657
2658 if (failed)
2659 return false;
2660
2661 OPT(lower_64bit_mad_to_mul_add);
2662
2663 /* Run this before payload setup because tesselation shaders
2664 * rely on it to prevent cross dvec2 regioning on DF attributes
2665 * that are setup so that XY are on the second half of register and
2666 * ZW are in the first half of the next.
2667 */
2668 OPT(scalarize_df);
2669
2670 setup_payload();
2671
2672 if (unlikely(INTEL_DEBUG & DEBUG_SPILL_VEC4)) {
2673 /* Debug of register spilling: Go spill everything. */
2674 const int grf_count = alloc.count;
2675 float spill_costs[alloc.count];
2676 bool no_spill[alloc.count];
2677 evaluate_spill_costs(spill_costs, no_spill);
2678 for (int i = 0; i < grf_count; i++) {
2679 if (no_spill[i])
2680 continue;
2681 spill_reg(i);
2682 }
2683
2684 /* We want to run this after spilling because 64-bit (un)spills need to
2685 * emit code to shuffle 64-bit data for the 32-bit scratch read/write
2686 * messages that can produce unsupported 64-bit swizzle regions.
2687 */
2688 OPT(scalarize_df);
2689 }
2690
2691 bool allocated_without_spills = reg_allocate();
2692
2693 if (!allocated_without_spills) {
2694 compiler->shader_perf_log(log_data,
2695 "%s shader triggered register spilling. "
2696 "Try reducing the number of live vec4 values "
2697 "to improve performance.\n",
2698 stage_name);
2699
2700 while (!reg_allocate()) {
2701 if (failed)
2702 return false;
2703 }
2704
2705 /* We want to run this after spilling because 64-bit (un)spills need to
2706 * emit code to shuffle 64-bit data for the 32-bit scratch read/write
2707 * messages that can produce unsupported 64-bit swizzle regions.
2708 */
2709 OPT(scalarize_df);
2710 }
2711
2712 opt_schedule_instructions();
2713
2714 opt_set_dependency_control();
2715
2716 convert_to_hw_regs();
2717
2718 if (last_scratch > 0) {
2719 prog_data->base.total_scratch =
2720 brw_get_scratch_size(last_scratch * REG_SIZE);
2721 }
2722
2723 return !failed;
2724 }
2725
2726 } /* namespace brw */
2727
2728 extern "C" {
2729
2730 /**
2731 * Compile a vertex shader.
2732 *
2733 * Returns the final assembly and the program's size.
2734 */
2735 const unsigned *
2736 brw_compile_vs(const struct brw_compiler *compiler, void *log_data,
2737 void *mem_ctx,
2738 const struct brw_vs_prog_key *key,
2739 struct brw_vs_prog_data *prog_data,
2740 const nir_shader *src_shader,
2741 gl_clip_plane *clip_planes,
2742 bool use_legacy_snorm_formula,
2743 int shader_time_index,
2744 unsigned *final_assembly_size,
2745 char **error_str)
2746 {
2747 const bool is_scalar = compiler->scalar_stage[MESA_SHADER_VERTEX];
2748 nir_shader *shader = nir_shader_clone(mem_ctx, src_shader);
2749 shader = brw_nir_apply_sampler_key(shader, compiler, &key->tex, is_scalar);
2750
2751 const unsigned *assembly = NULL;
2752
2753 if (prog_data->base.vue_map.varying_to_slot[VARYING_SLOT_EDGE] != -1) {
2754 /* If the output VUE map contains VARYING_SLOT_EDGE then we need to copy
2755 * the edge flag from VERT_ATTRIB_EDGEFLAG. This will be done
2756 * automatically by brw_vec4_visitor::emit_urb_slot but we need to
2757 * ensure that prog_data->inputs_read is accurate.
2758 *
2759 * In order to make late NIR passes aware of the change, we actually
2760 * whack shader->info.inputs_read instead. This is safe because we just
2761 * made a copy of the shader.
2762 */
2763 assert(!is_scalar);
2764 assert(key->copy_edgeflag);
2765 shader->info.inputs_read |= VERT_BIT_EDGEFLAG;
2766 }
2767
2768 prog_data->inputs_read = shader->info.inputs_read;
2769 prog_data->double_inputs_read = shader->info.double_inputs_read;
2770
2771 brw_nir_lower_vs_inputs(shader, use_legacy_snorm_formula,
2772 key->gl_attrib_wa_flags);
2773 brw_nir_lower_vue_outputs(shader, is_scalar);
2774 shader = brw_postprocess_nir(shader, compiler, is_scalar);
2775
2776 prog_data->base.clip_distance_mask =
2777 ((1 << shader->info.clip_distance_array_size) - 1);
2778 prog_data->base.cull_distance_mask =
2779 ((1 << shader->info.cull_distance_array_size) - 1) <<
2780 shader->info.clip_distance_array_size;
2781
2782 unsigned nr_attribute_slots = _mesa_bitcount_64(prog_data->inputs_read);
2783
2784 /* gl_VertexID and gl_InstanceID are system values, but arrive via an
2785 * incoming vertex attribute. So, add an extra slot.
2786 */
2787 if (shader->info.system_values_read &
2788 (BITFIELD64_BIT(SYSTEM_VALUE_BASE_VERTEX) |
2789 BITFIELD64_BIT(SYSTEM_VALUE_BASE_INSTANCE) |
2790 BITFIELD64_BIT(SYSTEM_VALUE_VERTEX_ID_ZERO_BASE) |
2791 BITFIELD64_BIT(SYSTEM_VALUE_INSTANCE_ID))) {
2792 nr_attribute_slots++;
2793 }
2794
2795 if (shader->info.system_values_read &
2796 BITFIELD64_BIT(SYSTEM_VALUE_BASE_VERTEX))
2797 prog_data->uses_basevertex = true;
2798
2799 if (shader->info.system_values_read &
2800 BITFIELD64_BIT(SYSTEM_VALUE_BASE_INSTANCE))
2801 prog_data->uses_baseinstance = true;
2802
2803 if (shader->info.system_values_read &
2804 BITFIELD64_BIT(SYSTEM_VALUE_VERTEX_ID_ZERO_BASE))
2805 prog_data->uses_vertexid = true;
2806
2807 if (shader->info.system_values_read &
2808 BITFIELD64_BIT(SYSTEM_VALUE_INSTANCE_ID))
2809 prog_data->uses_instanceid = true;
2810
2811 /* gl_DrawID has its very own vec4 */
2812 if (shader->info.system_values_read &
2813 BITFIELD64_BIT(SYSTEM_VALUE_DRAW_ID)) {
2814 prog_data->uses_drawid = true;
2815 nr_attribute_slots++;
2816 }
2817
2818 unsigned nr_attributes = nr_attribute_slots -
2819 DIV_ROUND_UP(_mesa_bitcount_64(shader->info.double_inputs_read), 2);
2820
2821 /* The 3DSTATE_VS documentation lists the lower bound on "Vertex URB Entry
2822 * Read Length" as 1 in vec4 mode, and 0 in SIMD8 mode. Empirically, in
2823 * vec4 mode, the hardware appears to wedge unless we read something.
2824 */
2825 if (is_scalar)
2826 prog_data->base.urb_read_length =
2827 DIV_ROUND_UP(nr_attribute_slots, 2);
2828 else
2829 prog_data->base.urb_read_length =
2830 DIV_ROUND_UP(MAX2(nr_attribute_slots, 1), 2);
2831
2832 prog_data->nr_attributes = nr_attributes;
2833 prog_data->nr_attribute_slots = nr_attribute_slots;
2834
2835 /* Since vertex shaders reuse the same VUE entry for inputs and outputs
2836 * (overwriting the original contents), we need to make sure the size is
2837 * the larger of the two.
2838 */
2839 const unsigned vue_entries =
2840 MAX2(nr_attribute_slots, (unsigned)prog_data->base.vue_map.num_slots);
2841
2842 if (compiler->devinfo->gen == 6) {
2843 prog_data->base.urb_entry_size = DIV_ROUND_UP(vue_entries, 8);
2844 } else {
2845 prog_data->base.urb_entry_size = DIV_ROUND_UP(vue_entries, 4);
2846 /* On Cannonlake software shall not program an allocation size that
2847 * specifies a size that is a multiple of 3 64B (512-bit) cachelines.
2848 */
2849 if (compiler->devinfo->gen == 10 &&
2850 prog_data->base.urb_entry_size % 3 == 0)
2851 prog_data->base.urb_entry_size++;
2852 }
2853
2854 if (INTEL_DEBUG & DEBUG_VS) {
2855 fprintf(stderr, "VS Output ");
2856 brw_print_vue_map(stderr, &prog_data->base.vue_map);
2857 }
2858
2859 if (is_scalar) {
2860 prog_data->base.dispatch_mode = DISPATCH_MODE_SIMD8;
2861
2862 fs_visitor v(compiler, log_data, mem_ctx, key, &prog_data->base.base,
2863 NULL, /* prog; Only used for TEXTURE_RECTANGLE on gen < 8 */
2864 shader, 8, shader_time_index);
2865 if (!v.run_vs(clip_planes)) {
2866 if (error_str)
2867 *error_str = ralloc_strdup(mem_ctx, v.fail_msg);
2868
2869 return NULL;
2870 }
2871
2872 prog_data->base.base.dispatch_grf_start_reg = v.payload.num_regs;
2873
2874 fs_generator g(compiler, log_data, mem_ctx, (void *) key,
2875 &prog_data->base.base, v.promoted_constants,
2876 v.runtime_check_aads_emit, MESA_SHADER_VERTEX);
2877 if (INTEL_DEBUG & DEBUG_VS) {
2878 const char *debug_name =
2879 ralloc_asprintf(mem_ctx, "%s vertex shader %s",
2880 shader->info.label ? shader->info.label :
2881 "unnamed",
2882 shader->info.name);
2883
2884 g.enable_debug(debug_name);
2885 }
2886 g.generate_code(v.cfg, 8);
2887 assembly = g.get_assembly(final_assembly_size);
2888 }
2889
2890 if (!assembly) {
2891 prog_data->base.dispatch_mode = DISPATCH_MODE_4X2_DUAL_OBJECT;
2892
2893 vec4_vs_visitor v(compiler, log_data, key, prog_data,
2894 shader, clip_planes, mem_ctx,
2895 shader_time_index, use_legacy_snorm_formula);
2896 if (!v.run()) {
2897 if (error_str)
2898 *error_str = ralloc_strdup(mem_ctx, v.fail_msg);
2899
2900 return NULL;
2901 }
2902
2903 assembly = brw_vec4_generate_assembly(compiler, log_data, mem_ctx,
2904 shader, &prog_data->base, v.cfg,
2905 final_assembly_size);
2906 }
2907
2908 return assembly;
2909 }
2910
2911 } /* extern "C" */