4ec302fc16d70e0c47d94054663f1cbd10d9dfa6
[openpower-isa.git] / src / openpower / test / alu / alu_cases.py
1 import random
2 from openpower.test.common import TestAccumulatorBase
3 from openpower.endian import bigendian
4 from openpower.simulator.program import Program
5 from openpower.decoder.selectable_int import SelectableInt
6 from openpower.decoder.power_enums import XER_bits
7 from openpower.decoder.isa.caller import special_sprs
8 from openpower.test.state import ExpectedState
9 import unittest
10
11
12 class ALUTestCase(TestAccumulatorBase):
13
14 def case_1_regression(self):
15 lst = [f"add. 3, 1, 2"]
16 initial_regs = [0] * 32
17 initial_regs[1] = 0xc523e996a8ff6215
18 initial_regs[2] = 0xe1e5b9cc9864c4a8
19 e = ExpectedState(pc=4)
20 e.intregs[1] = 0xc523e996a8ff6215
21 e.intregs[2] = 0xe1e5b9cc9864c4a8
22 e.intregs[3] = 0xa709a363416426bd
23 e.crregs[0] = 0x8
24 self.add_case(Program(lst, bigendian), initial_regs, expected=e)
25
26 def case_2_regression(self):
27 lst = [f"extsw 3, 1"]
28 initial_regs = [0] * 32
29 initial_regs[1] = 0xb6a1fc6c8576af91
30 e = ExpectedState(pc=4)
31 e.intregs[1] = 0xb6a1fc6c8576af91
32 e.intregs[3] = 0xffffffff8576af91
33 self.add_case(Program(lst, bigendian), initial_regs, expected=e)
34
35 lst = [f"subf 3, 1, 2"]
36 initial_regs = [0] * 32
37 initial_regs[1] = 0x3d7f3f7ca24bac7b
38 initial_regs[2] = 0xf6b2ac5e13ee15c2
39 e = ExpectedState(pc=4)
40 e.intregs[1] = 0x3d7f3f7ca24bac7b
41 e.intregs[2] = 0xf6b2ac5e13ee15c2
42 e.intregs[3] = 0xb9336ce171a26947
43 self.add_case(Program(lst, bigendian), initial_regs, expected=e)
44
45 lst = [f"subf 3, 1, 2"]
46 initial_regs = [0] * 32
47 initial_regs[1] = 0x833652d96c7c0058
48 initial_regs[2] = 0x1c27ecff8a086c1a
49 e = ExpectedState(pc=4)
50 e.intregs[1] = 0x833652d96c7c0058
51 e.intregs[2] = 0x1c27ecff8a086c1a
52 e.intregs[3] = 0x98f19a261d8c6bc2
53 self.add_case(Program(lst, bigendian), initial_regs, expected=e)
54
55 lst = [f"extsb 3, 1"]
56 initial_regs = [0] * 32
57 initial_regs[1] = 0x7f9497aaff900ea0
58 e = ExpectedState(pc=4)
59 e.intregs[1] = 0x7f9497aaff900ea0
60 e.intregs[3] = 0xffffffffffffffa0
61 self.add_case(Program(lst, bigendian), initial_regs, expected=e)
62
63 lst = [f"add 3, 1, 2"]
64 initial_regs = [0] * 32
65 initial_regs[1] = 0x2e08ae202742baf8
66 initial_regs[2] = 0x86c43ece9efe5baa
67 e = ExpectedState(pc=4)
68 e.intregs[1] = 0x2e08ae202742baf8
69 e.intregs[2] = 0x86c43ece9efe5baa
70 e.intregs[3] = 0xb4cceceec64116a2
71 self.add_case(Program(lst, bigendian), initial_regs, expected=e)
72
73 def case_rand(self):
74 insns = ["add", "add.", "subf"]
75 for i in range(40):
76 choice = random.choice(insns)
77 lst = [f"{choice} 3, 1, 2"]
78 initial_regs = [0] * 32
79 initial_regs[1] = random.randint(0, (1 << 64)-1)
80 initial_regs[2] = random.randint(0, (1 << 64)-1)
81
82 e = ExpectedState(pc=4)
83 e.intregs[1] = initial_regs[1]
84 e.intregs[2] = initial_regs[2]
85 if choice == "add":
86 result = initial_regs[1] + initial_regs[2]
87 if result < 0:
88 e.intregs[3] = (result + 2**64) & ((2**64)-1)
89 else:
90 e.intregs[3] = result & ((2**64)-1)
91 elif choice == "add.":
92 result = initial_regs[1] + initial_regs[2]
93 if result < 0:
94 e.intregs[3] = (result + 2**64) & ((2**64)-1)
95 else:
96 e.intregs[3] = result & ((2**64)-1)
97 eq = 0
98 gt = 0
99 le = 0
100 if (e.intregs[3] & (1<<63)) != 0:
101 le = 1
102 elif e.intregs[3] == 0:
103 eq = 1
104 else:
105 gt = 1
106 e.crregs[0] = (eq<<1) | (gt<<2) | (le<<3)
107 elif choice == "subf":
108 result = ~initial_regs[1] + initial_regs[2] + 1
109 if result < 0:
110 e.intregs[3] = (result + 2**64) & ((2**64)-1)
111 else:
112 e.intregs[3] = result & ((2**64)-1)
113
114 self.add_case(Program(lst, bigendian), initial_regs, expected=e)
115
116 def case_addme_ca_0(self):
117 insns = ["addme", "addme.", "addmeo", "addmeo."]
118 for choice in insns:
119 lst = [f"{choice} 6, 16"]
120 for value in [0x7ffffffff,
121 0xffff80000]:
122 initial_regs = [0] * 32
123 initial_regs[16] = value
124 initial_sprs = {}
125 xer = SelectableInt(0, 64)
126 xer[XER_bits['CA']] = 0 # input carry is 0 (see test below)
127 initial_sprs[special_sprs['XER']] = xer
128
129 # create expected results. pc should be 4 (one instruction)
130 e = ExpectedState(pc=4)
131 # input value should not be modified
132 e.intregs[16] = value
133 # carry-out should always occur
134 e.ca = 0x3
135 # create output value
136 if value == 0x7ffffffff:
137 e.intregs[6] = 0x7fffffffe
138 else:
139 e.intregs[6] = 0xffff7ffff
140 # CR version needs an expected CR
141 if '.' in choice:
142 e.crregs[0] = 0x4
143 self.add_case(Program(lst, bigendian),
144 initial_regs, initial_sprs,
145 expected=e)
146
147 def case_addme_ca_1(self):
148 insns = ["addme", "addme.", "addmeo", "addmeo."]
149 for choice in insns:
150 lst = [f"{choice} 6, 16"]
151 for value in [0x7ffffffff, # fails, bug #476
152 0xffff80000]:
153 initial_regs = [0] * 32
154 initial_regs[16] = value
155 initial_sprs = {}
156 xer = SelectableInt(0, 64)
157 xer[XER_bits['CA']] = 1 # input carry is 1 (differs from above)
158 initial_sprs[special_sprs['XER']] = xer
159 e = ExpectedState(pc=4)
160 e.intregs[16] = value
161 e.ca = 0x3
162 if value == 0x7ffffffff:
163 e.intregs[6] = 0x7ffffffff
164 else:
165 e.intregs[6] = 0xffff80000
166 if '.' in choice:
167 e.crregs[0] = 0x4
168 self.add_case(Program(lst, bigendian),
169 initial_regs, initial_sprs, expected=e)
170
171 def case_addme_ca_so_4(self):
172 """test of SO being set
173 """
174 lst = ["addmeo. 6, 16"]
175 initial_regs = [0] * 32
176 initial_regs[16] = 0x7fffffffffffffff
177 initial_sprs = {}
178 xer = SelectableInt(0, 64)
179 xer[XER_bits['CA']] = 1
180 initial_sprs[special_sprs['XER']] = xer
181 e = ExpectedState(pc=4)
182 e.intregs[16] = 0x7fffffffffffffff
183 e.intregs[6] = 0x7fffffffffffffff
184 e.ca = 0x3
185 e.crregs[0] = 0x4
186 self.add_case(Program(lst, bigendian),
187 initial_regs, initial_sprs, expected=e)
188
189 def case_addme_ca_so_3(self):
190 """bug where SO does not get passed through to CR0
191 """
192 lst = ["addme. 6, 16"]
193 initial_regs = [0] * 32
194 initial_regs[16] = 0x7ffffffff
195 initial_sprs = {}
196 xer = SelectableInt(0, 64)
197 xer[XER_bits['CA']] = 1
198 xer[XER_bits['SO']] = 1
199 initial_sprs[special_sprs['XER']] = xer
200 e = ExpectedState(pc=4)
201 e.intregs[16] = 0x7ffffffff
202 e.intregs[6] = 0x7ffffffff
203 e.crregs[0] = 0x5
204 e.so = 0x1
205 e.ca = 0x3
206 self.add_case(Program(lst, bigendian),
207 initial_regs, initial_sprs, expected=e)
208
209 def case_addze(self):
210 insns = ["addze", "addze.", "addzeo", "addzeo."]
211 for choice in insns:
212 lst = [f"{choice} 6, 16"]
213 initial_regs = [0] * 32
214 initial_regs[16] = 0x00ff00ff00ff0080
215 e = ExpectedState(pc=4)
216 e.intregs[16] = 0xff00ff00ff0080
217 e.intregs[6] = 0xff00ff00ff0080
218 if '.' in choice:
219 e.crregs[0] = 0x4
220 self.add_case(Program(lst, bigendian), initial_regs, expected=e)
221
222 def case_addis_nonzero_r0_regression(self):
223 lst = [f"addis 3, 0, 1"]
224 print(lst)
225 initial_regs = [0] * 32
226 initial_regs[0] = 5
227 e = ExpectedState(initial_regs, pc=4)
228 e.intregs[3] = 0x10000
229 self.add_case(Program(lst, bigendian), initial_regs, expected=e)
230
231 def case_addis_nonzero_r0(self):
232 for i in range(10):
233 imm = random.randint(-(1 << 15), (1 << 15)-1)
234 lst = [f"addis 3, 0, {imm}"]
235 print(lst)
236 initial_regs = [0] * 32
237 initial_regs[0] = random.randint(0, (1 << 64)-1)
238 e = ExpectedState(pc=4)
239 e.intregs[0] = initial_regs[0]
240 if imm < 0:
241 e.intregs[3] = (imm + 2**48)<<16
242 else:
243 e.intregs[3] = imm << 16
244 self.add_case(Program(lst, bigendian), initial_regs, expected=e)
245
246 def case_rand_imm(self):
247 insns = ["addi", "addis", "subfic"]
248 for i in range(10):
249 choice = random.choice(insns)
250 imm = random.randint(-(1 << 15), (1 << 15)-1)
251 lst = [f"{choice} 3, 1, {imm}"]
252 print(lst)
253 initial_regs = [0] * 32
254 initial_regs[1] = random.randint(0, (1 << 64)-1)
255 self.add_case(Program(lst, bigendian), initial_regs)
256
257 def case_0_adde(self):
258 lst = ["adde. 5, 6, 7"]
259 for i in range(10):
260 initial_regs = [0] * 32
261 initial_regs[6] = random.randint(0, (1 << 64)-1)
262 initial_regs[7] = random.randint(0, (1 << 64)-1)
263 initial_sprs = {}
264 xer = SelectableInt(0, 64)
265 xer[XER_bits['CA']] = 1
266 initial_sprs[special_sprs['XER']] = xer
267 # calculate result *including carry* and mask it to 64-bit
268 # (if it overflows, we don't care, because this is not addeo)
269 result = 1 + initial_regs[6] + initial_regs[7]
270 carry_out = result & (1<<64) # detect 65th bit as carry-out?
271 carry_out32 = ((initial_regs[6] & 0xffff_ffff) + (initial_regs[7] & 0xffff_ffff)) & (1<<32)
272 result = result & ((1<<64)-1) # round
273 # TODO: calculate CR0
274 eq = 0
275 gt = 0
276 le = 0
277 if (result & (1<<63)) != 0:
278 le = 1
279 elif result == 0:
280 eq = 1
281 else:
282 gt = 1
283 # now construct the state
284 e = ExpectedState(pc=4)
285 e.intregs[6] = initial_regs[6] # should be same as initial
286 e.intregs[7] = initial_regs[7] # should be same as initial
287 e.intregs[5] = result
288 e.ca = (carry_out>>64) | (carry_out32>>31)
289 e.crregs[0] = (eq<<1) | (gt<<2) | (le<<3)
290
291 self.add_case(Program(lst, bigendian),
292 initial_regs, initial_sprs, expected=e)
293
294 def case_cmp(self):
295 lst = ["subf. 1, 6, 7",
296 "cmp cr2, 1, 6, 7"]
297 initial_regs = [0] * 32
298 initial_regs[6] = 0x10
299 initial_regs[7] = 0x05
300 e = ExpectedState(pc=8)
301 e.intregs[6] = 0x10
302 e.intregs[7] = 0x5
303 e.intregs[1] = 0xfffffffffffffff5
304 e.crregs[0] = 0x8
305 e.crregs[2] = 0x4
306 self.add_case(Program(lst, bigendian), initial_regs, expected=e)
307
308 def case_cmp2(self):
309 lst = ["cmp cr2, 0, 2, 3"]
310 initial_regs = [0] * 32
311 initial_regs[2] = 0xffffffffaaaaaaaa
312 initial_regs[3] = 0x00000000aaaaaaaa
313 e = ExpectedState(pc=4)
314 e.intregs[2] = 0xffffffffaaaaaaaa
315 e.intregs[3] = 0xaaaaaaaa
316 e.crregs[2] = 0x2
317 self.add_case(Program(lst, bigendian), initial_regs, expected=e)
318
319 lst = ["cmp cr2, 0, 4, 5"]
320 initial_regs = [0] * 32
321 initial_regs[4] = 0x00000000aaaaaaaa
322 initial_regs[5] = 0xffffffffaaaaaaaa
323 e = ExpectedState(pc=4)
324 e.intregs[4] = 0xaaaaaaaa
325 e.intregs[5] = 0xffffffffaaaaaaaa
326 e.crregs[2] = 0x2
327 self.add_case(Program(lst, bigendian), initial_regs, expected=e)
328
329 def case_cmp3(self):
330 lst = ["cmp cr2, 1, 2, 3"]
331 initial_regs = [0] * 32
332 initial_regs[2] = 0xffffffffaaaaaaaa
333 initial_regs[3] = 0x00000000aaaaaaaa
334 e = ExpectedState(pc=4)
335 e.intregs[2] = 0xffffffffaaaaaaaa
336 e.intregs[3] = 0xaaaaaaaa
337 e.crregs[2] = 0x8
338 self.add_case(Program(lst, bigendian), initial_regs, expected=e)
339
340 lst = ["cmp cr2, 1, 4, 5"]
341 initial_regs = [0] * 32
342 initial_regs[4] = 0x00000000aaaaaaaa
343 initial_regs[5] = 0xffffffffaaaaaaaa
344 e = ExpectedState(pc=4)
345 e.intregs[4] = 0xaaaaaaaa
346 e.intregs[5] = 0xffffffffaaaaaaaa
347 e.crregs[2] = 0x4
348 self.add_case(Program(lst, bigendian), initial_regs, expected=e)
349
350 def case_cmpl_microwatt_0(self):
351 """microwatt 1.bin:
352 115b8: 40 50 d1 7c .long 0x7cd15040 # cmpl 6, 0, 17, 10
353 register_file.vhdl: Reading GPR 11 000000000001C026
354 register_file.vhdl: Reading GPR 0A FEDF3FFF0001C025
355 cr_file.vhdl: Reading CR 35055050
356 cr_file.vhdl: Writing 35055058 to CR mask 01 35055058
357 """
358
359 lst = ["cmpl 6, 0, 17, 10"]
360 initial_regs = [0] * 32
361 initial_regs[0x11] = 0x1c026
362 initial_regs[0xa] = 0xFEDF3FFF0001C025
363 XER = 0xe00c0000
364 CR = 0x35055050
365
366 e = ExpectedState(pc=4)
367 e.intregs[10] = 0xfedf3fff0001c025
368 e.intregs[17] = 0x1c026
369 e.crregs[0] = 0x3
370 e.crregs[1] = 0x5
371 e.crregs[3] = 0x5
372 e.crregs[4] = 0x5
373 e.crregs[6] = 0x5
374 e.so = 0x1
375 e.ov = 0x3
376 e.ca = 0x3
377
378 self.add_case(Program(lst, bigendian), initial_regs,
379 initial_sprs = {'XER': XER},
380 initial_cr = CR, expected=e)
381
382 def case_cmpl_microwatt_0_disasm(self):
383 """microwatt 1.bin: disassembled version
384 115b8: 40 50 d1 7c .long 0x7cd15040 # cmpl 6, 0, 17, 10
385 register_file.vhdl: Reading GPR 11 000000000001C026
386 register_file.vhdl: Reading GPR 0A FEDF3FFF0001C025
387 cr_file.vhdl: Reading CR 35055050
388 cr_file.vhdl: Writing 35055058 to CR mask 01 35055058
389 """
390
391 dis = ["cmpl 6, 0, 17, 10"]
392 lst = bytes([0x40, 0x50, 0xd1, 0x7c]) # 0x7cd15040
393 initial_regs = [0] * 32
394 initial_regs[0x11] = 0x1c026
395 initial_regs[0xa] = 0xFEDF3FFF0001C025
396 XER = 0xe00c0000
397 CR = 0x35055050
398
399 e = ExpectedState(pc=4)
400 e.intregs[10] = 0xfedf3fff0001c025
401 e.intregs[17] = 0x1c026
402 e.crregs[0] = 0x3
403 e.crregs[1] = 0x5
404 e.crregs[3] = 0x5
405 e.crregs[4] = 0x5
406 e.crregs[6] = 0x5
407 e.so = 0x1
408 e.ov = 0x3
409 e.ca = 0x3
410
411 p = Program(lst, bigendian)
412 p.assembly = '\n'.join(dis)+'\n'
413 self.add_case(p, initial_regs,
414 initial_sprs = {'XER': XER},
415 initial_cr = CR, expected=e)
416
417 def case_cmplw_microwatt_1(self):
418 """microwatt 1.bin:
419 10d94: 40 20 96 7c cmplw cr1,r22,r4
420 gpr: 00000000ffff6dc1 <- r4
421 gpr: 0000000000000000 <- r22
422 """
423
424 lst = ["cmpl 1, 0, 22, 4"]
425 initial_regs = [0] * 32
426 initial_regs[4] = 0xffff6dc1
427 initial_regs[22] = 0
428 XER = 0xe00c0000
429 CR = 0x50759999
430
431 e = ExpectedState(pc=4)
432 e.intregs[4] = 0xffff6dc1
433 e.crregs[0] = 0x5
434 e.crregs[1] = 0x9
435 e.crregs[2] = 0x7
436 e.crregs[3] = 0x5
437 e.crregs[4] = 0x9
438 e.crregs[5] = 0x9
439 e.crregs[6] = 0x9
440 e.crregs[7] = 0x9
441 e.so = 0x1
442 e.ov = 0x3
443 e.ca = 0x3
444
445 self.add_case(Program(lst, bigendian), initial_regs,
446 initial_sprs = {'XER': XER},
447 initial_cr = CR, expected=e)
448
449 def case_cmpli_microwatt(self):
450 """microwatt 1.bin: cmpli
451 123ac: 9c 79 8d 2a cmpli cr5,0,r13,31132
452 gpr: 00000000301fc7a7 <- r13
453 cr : 0000000090215393
454 xer: so 1 ca 0 32 0 ov 0 32 0
455
456 """
457
458 lst = ["cmpli 5, 0, 13, 31132"]
459 initial_regs = [0] * 32
460 initial_regs[13] = 0x301fc7a7
461 XER = 0xe00c0000
462 CR = 0x90215393
463
464 e = ExpectedState(pc=4)
465 e.intregs[13] = 0x301fc7a7
466 e.crregs[0] = 0x9
467 e.crregs[2] = 0x2
468 e.crregs[3] = 0x1
469 e.crregs[4] = 0x5
470 e.crregs[5] = 0x5
471 e.crregs[6] = 0x9
472 e.crregs[7] = 0x3
473 e.so = 0x1
474 e.ov = 0x3
475 e.ca = 0x3
476
477 self.add_case(Program(lst, bigendian), initial_regs,
478 initial_sprs = {'XER': XER},
479 initial_cr = CR, expected=e)
480
481 def case_extsb(self):
482 insns = ["extsb", "extsh", "extsw"]
483 for i in range(10):
484 choice = random.choice(insns)
485 lst = [f"{choice} 3, 1"]
486 print(lst)
487 initial_regs = [0] * 32
488 initial_regs[1] = random.randint(0, (1 << 64)-1)
489
490 e = ExpectedState(pc=4)
491 e.intregs[1] = initial_regs[1]
492 if choice == "extsb":
493 s = ((initial_regs[1] & 0x1000_0000_0000_0080)>>7)&0x1
494 if s == 1:
495 value = 0xffff_ffff_ffff_ff<<8
496 else:
497 value = 0x0
498 e.intregs[3] = value | (initial_regs[1] & 0xff)
499 elif choice == "extsh":
500 s = ((initial_regs[1] & 0x1000_0000_0000_8000)>>15)&0x1
501 if s == 1:
502 value = 0xffff_ffff_ffff<<16
503 else:
504 value = 0x0
505 e.intregs[3] = value | (initial_regs[1] & 0xffff)
506 else:
507 s = ((initial_regs[1] & 0x1000_0000_8000_0000)>>31)&0x1
508 if s == 1:
509 value = 0xffff_ffff<<32
510 else:
511 value = 0x0
512 e.intregs[3] = value | (initial_regs[1] & 0xffff_ffff)
513
514 self.add_case(Program(lst, bigendian), initial_regs, expected=e)
515
516 def case_cmpeqb(self):
517 lst = ["cmpeqb cr1, 1, 2"]
518 for i in range(20):
519 initial_regs = [0] * 32
520 initial_regs[1] = i
521 initial_regs[2] = 0x0001030507090b0f
522
523 e = ExpectedState(pc=4)
524 e.intregs[1] = i
525 e.intregs[2] = 0x1030507090b0f
526 matlst = [ 0x00, 0x01, 0x03, 0x05, 0x07, 0x09, 0x0b, 0x0f ]
527 for j in matlst:
528 if j == i:
529 e.crregs[1] = 0x4
530
531 self.add_case(Program(lst, bigendian), initial_regs, expected=e)
532