Remove abc_flop attributes for now
[yosys.git] / techlibs / anlogic / cells_sim.v
1 module AL_MAP_SEQ (
2 output q,
3 input ce,
4 input clk,
5 input sr,
6 input d
7 );
8 parameter DFFMODE = "FF"; //FF,LATCH
9 parameter REGSET = "RESET"; //RESET/SET
10 parameter SRMUX = "SR"; //SR/INV
11 parameter SRMODE = "SYNC"; //SYNC/ASYNC
12 endmodule
13
14 module AL_MAP_LUT1 (
15 output o,
16 input a
17 );
18 parameter [1:0] INIT = 2'h0;
19 parameter EQN = "(A)";
20 assign o = INIT >> a;
21 endmodule
22
23 module AL_MAP_LUT2 (
24 output o,
25 input a,
26 input b
27 );
28 parameter [3:0] INIT = 4'h0;
29 parameter EQN = "(A)";
30 assign o = INIT >> {b, a};
31 endmodule
32
33 module AL_MAP_LUT3 (
34 output o,
35 input a,
36 input b,
37 input c
38 );
39 parameter [7:0] INIT = 8'h0;
40 parameter EQN = "(A)";
41 assign o = INIT >> {c, b, a};
42 endmodule
43
44 module AL_MAP_LUT4 (
45 output o,
46 input a,
47 input b,
48 input c,
49 input d
50 );
51 parameter [15:0] INIT = 16'h0;
52 parameter EQN = "(A)";
53 assign o = INIT >> {d, c, b, a};
54 endmodule
55
56 module AL_MAP_LUT5 (
57 output o,
58 input a,
59 input b,
60 input c,
61 input d,
62 input e
63 );
64 parameter [31:0] INIT = 32'h0;
65 parameter EQN = "(A)";
66 assign o = INIT >> {e, d, c, b, a};
67 endmodule
68
69
70 module AL_MAP_LUT6 (
71 output o,
72 input a,
73 input b,
74 input c,
75 input d,
76 input e,
77 input f
78 );
79 parameter [63:0] INIT = 64'h0;
80 parameter EQN = "(A)";
81 assign o = INIT >> {f, e, d, c, b, a};
82 endmodule
83
84 module AL_MAP_ALU2B (
85 input cin,
86 input a0, b0, c0, d0,
87 input a1, b1, c1, d1,
88 output s0, s1, cout
89 );
90 parameter [15:0] INIT0 = 16'h0000;
91 parameter [15:0] INIT1 = 16'h0000;
92 parameter FUNC0 = "NO";
93 parameter FUNC1 = "NO";
94 endmodule
95
96 module AL_MAP_ADDER (
97 input a,
98 input b,
99 input c,
100 output [1:0] o
101 );
102 parameter ALUTYPE = "ADD";
103 endmodule