Add (* abc_flop_q *) to brams_bb.v
[yosys.git] / techlibs / common / .gitignore
1 simlib_help.inc
2 simcells_help.inc