Hook up $aldff support in various passes.
[yosys.git] / techlibs / common / dff2ff.v
1 (* techmap_celltype = "$dff" *)
2 module dff2ff (CLK, D, Q);
3 parameter WIDTH = 1;
4 parameter CLK_POLARITY = 1;
5
6 input CLK;
7 (* force_downto *)
8 input [WIDTH-1:0] D;
9 (* force_downto *)
10 output reg [WIDTH-1:0] Q;
11
12 wire [1023:0] _TECHMAP_DO_ = "proc;;";
13
14 always @($global_clock)
15 Q <= D;
16 endmodule