Update synth_ice40 -device doc to be relevant for -abc9 only
[yosys.git] / techlibs / ecp5 / bram.txt
1 bram $__ECP5_DP16KD
2 init 1
3
4 abits 10 @a10d18
5 dbits 18 @a10d18
6 abits 11 @a11d9
7 dbits 9 @a11d9
8 abits 12 @a12d4
9 dbits 4 @a12d4
10 abits 13 @a13d2
11 dbits 2 @a13d2
12 abits 14 @a14d1
13 dbits 1 @a14d1
14
15 groups 2
16 ports 1 1
17 wrmode 1 0
18 enable 2 1 @a10d18
19 enable 1 1 @a11d9 @a12d4 @a13d2 @a14d1
20 transp 0 2
21 clocks 2 3
22 clkpol 2 3
23 endbram
24
25 match $__ECP5_DP16KD
26 min bits 2048
27 min efficiency 5
28 shuffle_enable B
29 endmatch