Merge pull request #1559 from YosysHQ/efinix_test_fix
[yosys.git] / techlibs / ecp5 / brams.txt
1 bram $__ECP5_PDPW16KD
2 init 1
3
4 abits 9
5 dbits 36
6
7 groups 2
8 ports 1 1
9 wrmode 1 0
10 enable 4 1
11 transp 0 0
12 clocks 2 3
13 clkpol 2 3
14 endbram
15
16 bram $__ECP5_DP16KD
17 init 1
18
19 abits 10 @a10d18
20 dbits 18 @a10d18
21 abits 11 @a11d9
22 dbits 9 @a11d9
23 abits 12 @a12d4
24 dbits 4 @a12d4
25 abits 13 @a13d2
26 dbits 2 @a13d2
27 abits 14 @a14d1
28 dbits 1 @a14d1
29
30 groups 2
31 ports 1 1
32 wrmode 1 0
33 enable 2 1 @a10d18
34 enable 1 1 @a11d9 @a12d4 @a13d2 @a14d1
35 transp 0 2
36 clocks 2 3
37 clkpol 2 3
38 endbram
39
40 match $__ECP5_PDPW16KD
41 min bits 2048
42 min efficiency 5
43 shuffle_enable A
44 make_transp
45 or_next_if_better
46 endmatch
47
48 match $__ECP5_DP16KD
49 min bits 2048
50 min efficiency 5
51 shuffle_enable A
52 endmatch