3b3de831f64aca94a94d110c20f59b45cb556317
[yosys.git] / techlibs / ecp5 / drams_map.v
1 module \$__TRELLIS_DPR16X4 (CLK1, A1ADDR, A1DATA, B1ADDR, B1DATA, B1EN);
2 parameter [63:0] INIT = 64'bx;
3 parameter CLKPOL2 = 1;
4 input CLK1;
5
6 input [3:0] A1ADDR;
7 output [3:0] A1DATA;
8
9 input [3:0] B1ADDR;
10 input [3:0] B1DATA;
11 input B1EN;
12
13 localparam WCKMUX = CLKPOL2 ? "WCK" : "INV";
14
15 TRELLIS_DPR16X4 #(
16 .INITVAL(INIT),
17 .WCKMUX(WCKMUX),
18 .WREMUX("WRE")
19 ) _TECHMAP_REPLACE_ (
20 .RAD(A1ADDR),
21 .DO(A1DATA),
22
23 .WAD(B1ADDR),
24 .DI(B1DATA),
25 .WCK(CLK1),
26 .WRE(B1EN)
27 );
28 endmodule