Merge remote-tracking branch 'origin/master' into xc7dsp
[yosys.git] / techlibs / ecp5 / synth_ecp5.cc
1 /*
2 * yosys -- Yosys Open SYnthesis Suite
3 *
4 * Copyright (C) 2012 Clifford Wolf <clifford@clifford.at>
5 * Copyright (C) 2018 David Shah <dave@ds0.me>
6 *
7 * Permission to use, copy, modify, and/or distribute this software for any
8 * purpose with or without fee is hereby granted, provided that the above
9 * copyright notice and this permission notice appear in all copies.
10 *
11 * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
12 * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
13 * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
14 * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
15 * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
16 * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
17 * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
18 *
19 */
20
21 #include "kernel/register.h"
22 #include "kernel/celltypes.h"
23 #include "kernel/rtlil.h"
24 #include "kernel/log.h"
25
26 USING_YOSYS_NAMESPACE
27 PRIVATE_NAMESPACE_BEGIN
28
29 struct SynthEcp5Pass : public ScriptPass
30 {
31 SynthEcp5Pass() : ScriptPass("synth_ecp5", "synthesis for ECP5 FPGAs") { }
32
33 void help() YS_OVERRIDE
34 {
35 // |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|
36 log("\n");
37 log(" synth_ecp5 [options]\n");
38 log("\n");
39 log("This command runs synthesis for ECP5 FPGAs.\n");
40 log("\n");
41 log(" -top <module>\n");
42 log(" use the specified module as top module\n");
43 log("\n");
44 log(" -blif <file>\n");
45 log(" write the design to the specified BLIF file. writing of an output file\n");
46 log(" is omitted if this parameter is not specified.\n");
47 log("\n");
48 log(" -edif <file>\n");
49 log(" write the design to the specified EDIF file. writing of an output file\n");
50 log(" is omitted if this parameter is not specified.\n");
51 log("\n");
52 log(" -json <file>\n");
53 log(" write the design to the specified JSON file. writing of an output file\n");
54 log(" is omitted if this parameter is not specified.\n");
55 log("\n");
56 log(" -run <from_label>:<to_label>\n");
57 log(" only run the commands between the labels (see below). an empty\n");
58 log(" from label is synonymous to 'begin', and empty to label is\n");
59 log(" synonymous to the end of the command list.\n");
60 log("\n");
61 log(" -noflatten\n");
62 log(" do not flatten design before synthesis\n");
63 log("\n");
64 log(" -retime\n");
65 log(" run 'abc' with -dff option\n");
66 log("\n");
67 log(" -noccu2\n");
68 log(" do not use CCU2 cells in output netlist\n");
69 log("\n");
70 log(" -nodffe\n");
71 log(" do not use flipflops with CE in output netlist\n");
72 log("\n");
73 log(" -nobram\n");
74 log(" do not use block RAM cells in output netlist\n");
75 log("\n");
76 log(" -nolutram\n");
77 log(" do not use LUT RAM cells in output netlist\n");
78 log("\n");
79 log(" -nowidelut\n");
80 log(" do not use PFU muxes to implement LUTs larger than LUT4s\n");
81 log("\n");
82 log(" -abc2\n");
83 log(" run two passes of 'abc' for slightly improved logic density\n");
84 log("\n");
85 log(" -abc9\n");
86 log(" use new ABC9 flow (EXPERIMENTAL)\n");
87 log("\n");
88 log(" -vpr\n");
89 log(" generate an output netlist (and BLIF file) suitable for VPR\n");
90 log(" (this feature is experimental and incomplete)\n");
91 log("\n");
92 log(" -nodsp\n");
93 log(" do not map multipliers to MULT18X18D\n");
94 log("\n");
95 log("\n");
96 log("The following commands are executed by this synthesis command:\n");
97 help_script();
98 log("\n");
99 }
100
101 string top_opt, blif_file, edif_file, json_file;
102 bool noccu2, nodffe, nobram, nolutram, nowidelut, flatten, retime, abc2, abc9, nodsp, vpr;
103
104 void clear_flags() YS_OVERRIDE
105 {
106 top_opt = "-auto-top";
107 blif_file = "";
108 edif_file = "";
109 json_file = "";
110 noccu2 = false;
111 nodffe = false;
112 nobram = false;
113 nolutram = false;
114 nowidelut = false;
115 flatten = true;
116 retime = false;
117 abc2 = false;
118 vpr = false;
119 abc9 = false;
120 nodsp = false;
121 }
122
123 void execute(std::vector<std::string> args, RTLIL::Design *design) YS_OVERRIDE
124 {
125 string run_from, run_to;
126 clear_flags();
127
128 size_t argidx;
129 for (argidx = 1; argidx < args.size(); argidx++)
130 {
131 if (args[argidx] == "-top" && argidx+1 < args.size()) {
132 top_opt = "-top " + args[++argidx];
133 continue;
134 }
135 if (args[argidx] == "-blif" && argidx+1 < args.size()) {
136 blif_file = args[++argidx];
137 continue;
138 }
139 if (args[argidx] == "-edif" && argidx+1 < args.size()) {
140 edif_file = args[++argidx];
141 continue;
142 }
143 if (args[argidx] == "-json" && argidx+1 < args.size()) {
144 json_file = args[++argidx];
145 continue;
146 }
147 if (args[argidx] == "-run" && argidx+1 < args.size()) {
148 size_t pos = args[argidx+1].find(':');
149 if (pos == std::string::npos)
150 break;
151 run_from = args[++argidx].substr(0, pos);
152 run_to = args[argidx].substr(pos+1);
153 continue;
154 }
155 if (args[argidx] == "-flatten") {
156 flatten = true;
157 continue;
158 }
159 if (args[argidx] == "-noflatten") {
160 flatten = false;
161 continue;
162 }
163 if (args[argidx] == "-retime") {
164 retime = true;
165 continue;
166 }
167 if (args[argidx] == "-noccu2") {
168 noccu2 = true;
169 continue;
170 }
171 if (args[argidx] == "-nodffe") {
172 nodffe = true;
173 continue;
174 }
175 if (args[argidx] == "-nobram") {
176 nobram = true;
177 continue;
178 }
179 if (args[argidx] == "-nolutram" || /*deprecated alias*/ args[argidx] == "-nodram") {
180 nolutram = true;
181 continue;
182 }
183 if (args[argidx] == "-nowidelut" || /*deprecated alias*/ args[argidx] == "-nomux") {
184 nowidelut = true;
185 continue;
186 }
187 if (args[argidx] == "-abc2") {
188 abc2 = true;
189 continue;
190 }
191 if (args[argidx] == "-vpr") {
192 vpr = true;
193 continue;
194 }
195 if (args[argidx] == "-abc9") {
196 abc9 = true;
197 continue;
198 }
199 if (args[argidx] == "-nodsp") {
200 nodsp = true;
201 continue;
202 }
203 break;
204 }
205 extra_args(args, argidx, design);
206
207 if (!design->full_selection())
208 log_cmd_error("This command only operates on fully selected designs!\n");
209
210 if (abc9 && retime)
211 log_cmd_error("-retime option not currently compatible with -abc9!\n");
212
213 log_header(design, "Executing SYNTH_ECP5 pass.\n");
214 log_push();
215
216 run_script(design, run_from, run_to);
217
218 log_pop();
219 }
220
221 void script() YS_OVERRIDE
222 {
223 if (check_label("begin"))
224 {
225 run("read_verilog -D_ABC -lib +/ecp5/cells_sim.v +/ecp5/cells_bb.v");
226 run(stringf("hierarchy -check %s", help_mode ? "-top <top>" : top_opt.c_str()));
227 }
228
229 if (flatten && check_label("flatten", "(unless -noflatten)"))
230 {
231 run("proc");
232 run("flatten");
233 run("tribuf -logic");
234 run("deminout");
235 }
236
237 if (check_label("coarse"))
238 {
239 run("opt_expr");
240 run("opt_clean");
241 run("check");
242 run("opt");
243 run("wreduce");
244 run("peepopt");
245 run("opt_clean");
246 run("share");
247 run("techmap -map +/cmp2lut.v -D LUT_WIDTH=4");
248 run("opt_expr");
249 run("opt_clean");
250 if (!nodsp) {
251 run("techmap -map +/mul2dsp.v -D DSP_A_MAXWIDTH=18 -D DSP_B_MAXWIDTH=18 -D DSP_A_MINWIDTH=2 -D DSP_B_MINWIDTH=2 -D DSP_NAME=$__MUL18X18", "(unless -nodsp)");
252 run("clean", "(unless -nodsp)");
253 run("techmap -map +/ecp5/dsp_map.v", "(unless -nodsp)");
254 run("chtype -set $mul t:$__soft_mul", "(unless -nodsp)");
255 }
256 run("alumacc");
257 run("opt");
258 run("fsm");
259 run("opt -fast");
260 run("memory -nomap");
261 run("opt_clean");
262 }
263
264 if (!nobram && check_label("map_bram", "(skip if -nobram)"))
265 {
266 run("memory_bram -rules +/ecp5/bram.txt");
267 run("techmap -map +/ecp5/brams_map.v");
268 }
269
270 if (!nolutram && check_label("map_lutram", "(skip if -nolutram)"))
271 {
272 run("memory_bram -rules +/ecp5/lutram.txt");
273 run("techmap -map +/ecp5/lutrams_map.v");
274 }
275
276 if (check_label("map_ffram"))
277 {
278 run("opt -fast -mux_undef -undriven -fine");
279 run("memory_map");
280 run("opt -undriven -fine");
281 }
282
283 if (check_label("map_gates"))
284 {
285 if (noccu2)
286 run("techmap");
287 else
288 run("techmap -map +/techmap.v -map +/ecp5/arith_map.v");
289 if (retime || help_mode)
290 run("abc -dff", "(only if -retime)");
291 }
292
293 if (check_label("map_ffs"))
294 {
295 run("dffsr2dff");
296 run("dff2dffs");
297 run("opt_clean");
298 if (!nodffe)
299 run("dff2dffe -direct-match $_DFF_* -direct-match $__DFFS_*");
300 run("techmap -D NO_LUT -map +/ecp5/cells_map.v");
301 run("opt_expr -undriven -mux_undef");
302 run("simplemap");
303 run("ecp5_ffinit");
304 run("ecp5_gsr");
305 run("opt_clean");
306 }
307
308 if (check_label("map_luts"))
309 {
310 if (abc2 || help_mode) {
311 run("abc", " (only if -abc2)");
312 }
313 std::string techmap_args = "-map +/ecp5/latches_map.v";
314 if (abc9)
315 techmap_args += " -map +/ecp5/abc_map.v -max_iter 1";
316 run("techmap " + techmap_args);
317
318 if (abc9) {
319 if (nowidelut)
320 run("abc9 -lut +/ecp5/abc_5g_nowide.lut -box +/ecp5/abc_5g.box -W 200");
321 else
322 run("abc9 -lut +/ecp5/abc_5g.lut -box +/ecp5/abc_5g.box -W 200");
323 run("techmap -map +/ecp5/abc_unmap.v");
324 } else {
325 if (nowidelut)
326 run("abc -lut 4 -dress");
327 else
328 run("abc -lut 4:7 -dress");
329 }
330 run("clean");
331 }
332
333 if (check_label("map_cells"))
334 {
335 if (vpr)
336 run("techmap -D NO_LUT -map +/ecp5/cells_map.v");
337 else
338 run("techmap -map +/ecp5/cells_map.v", "(with -D NO_LUT in vpr mode)");
339
340 run("clean");
341 }
342
343 if (check_label("check"))
344 {
345 run("hierarchy -check");
346 run("stat");
347 run("check -noinit");
348 }
349
350 if (check_label("blif"))
351 {
352 if (!blif_file.empty() || help_mode) {
353 if (vpr || help_mode) {
354 run(stringf("opt_clean -purge"),
355 " (vpr mode)");
356 run(stringf("write_blif -attr -cname -conn -param %s",
357 help_mode ? "<file-name>" : blif_file.c_str()),
358 " (vpr mode)");
359 }
360 if (!vpr)
361 run(stringf("write_blif -gates -attr -param %s",
362 help_mode ? "<file-name>" : blif_file.c_str()),
363 " (non-vpr mode)");
364 }
365 }
366
367 if (check_label("edif"))
368 {
369 if (!edif_file.empty() || help_mode)
370 run(stringf("write_edif %s", help_mode ? "<file-name>" : edif_file.c_str()));
371 }
372
373 if (check_label("json"))
374 {
375 if (!json_file.empty() || help_mode)
376 run(stringf("write_json %s", help_mode ? "<file-name>" : json_file.c_str()));
377 }
378 }
379 } SynthEcp5Pass;
380
381 PRIVATE_NAMESPACE_END