efinix: Nuke efinix_gbuf in favor of clkbufmap.
[yosys.git] / techlibs / efinix / cells_sim.v
1 module EFX_LUT4(
2 output O,
3 input I0,
4 input I1,
5 input I2,
6 input I3
7 );
8 parameter LUTMASK = 16'h0000;
9
10 wire [7:0] s3 = I3 ? LUTMASK[15:8] : LUTMASK[7:0];
11 wire [3:0] s2 = I2 ? s3[ 7:4] : s3[3:0];
12 wire [1:0] s1 = I1 ? s2[ 3:2] : s2[1:0];
13 assign O = I0 ? s1[1] : s1[0];
14 endmodule
15
16 module EFX_ADD(
17 output O,
18 output CO,
19 input I0,
20 input I1,
21 input CI
22 );
23 parameter I0_POLARITY = 1;
24 parameter I1_POLARITY = 1;
25
26 wire i0;
27 wire i1;
28
29 assign i0 = I0_POLARITY ? I0 : ~I0;
30 assign i1 = I1_POLARITY ? I1 : ~I1;
31
32 assign {CO, O} = i0 + i1 + CI;
33 endmodule
34
35 module EFX_FF(
36 output reg Q,
37 input D,
38 input CE,
39 (* clkbuf_sink *)
40 input CLK,
41 input SR
42 );
43 parameter CLK_POLARITY = 1;
44 parameter CE_POLARITY = 1;
45 parameter SR_POLARITY = 1;
46 parameter SR_SYNC = 0;
47 parameter SR_VALUE = 0;
48 parameter SR_SYNC_PRIORITY = 0;
49 parameter D_POLARITY = 1;
50
51 wire clk;
52 wire ce;
53 wire sr;
54 wire d;
55 wire prio;
56 wire sync;
57 wire async;
58
59 assign clk = CLK_POLARITY ? CLK : ~CLK;
60 assign ce = CE_POLARITY ? CE : ~CE;
61 assign sr = SR_POLARITY ? SR : ~SR;
62 assign d = D_POLARITY ? D : ~D;
63
64 initial Q = 1'b0;
65
66 generate
67 if (SR_SYNC == 1)
68 begin
69 if (SR_SYNC_PRIORITY == 1)
70 begin
71 always @(posedge clk)
72 if (sr)
73 Q <= SR_VALUE;
74 else if (ce)
75 Q <= d;
76 end
77 else
78 begin
79 always @(posedge clk)
80 if (ce)
81 begin
82 if (sr)
83 Q <= SR_VALUE;
84 else
85 Q <= d;
86 end
87 end
88 end
89 else
90 begin
91 always @(posedge clk or posedge sr)
92 if (sr)
93 Q <= SR_VALUE;
94 else if (ce)
95 Q <= d;
96
97 end
98 endgenerate
99 endmodule
100
101 module EFX_GBUFCE(
102 input CE,
103 input I,
104 (* clkbuf_driver *)
105 output O
106 );
107 parameter CE_POLARITY = 1'b1;
108
109 wire ce;
110 assign ce = CE_POLARITY ? CE : ~CE;
111
112 assign O = I & ce;
113
114 endmodule
115
116 module EFX_RAM_5K(
117 input [WRITE_WIDTH-1:0] WDATA,
118 input [WRITE_ADDR_WIDTH-1:0] WADDR,
119 input WE,
120 (* clkbuf_sink *)
121 input WCLK,
122 input WCLKE,
123 output [READ_WIDTH-1:0] RDATA,
124 input [READ_ADDR_WIDTH-1:0] RADDR,
125 input RE,
126 (* clkbuf_sink *)
127 input RCLK
128 );
129 parameter READ_WIDTH = 20;
130 parameter WRITE_WIDTH = 20;
131 parameter OUTPUT_REG = 1'b0;
132 parameter RCLK_POLARITY = 1'b1;
133 parameter RE_POLARITY = 1'b1;
134 parameter WCLK_POLARITY = 1'b1;
135 parameter WE_POLARITY = 1'b1;
136 parameter WCLKE_POLARITY = 1'b1;
137 parameter WRITE_MODE = "READ_FIRST";
138 parameter INIT_0 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
139 parameter INIT_1 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
140 parameter INIT_2 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
141 parameter INIT_3 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
142 parameter INIT_4 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
143 parameter INIT_5 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
144 parameter INIT_6 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
145 parameter INIT_7 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
146 parameter INIT_8 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
147 parameter INIT_9 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
148 parameter INIT_A = 256'h0000000000000000000000000000000000000000000000000000000000000000;
149 parameter INIT_B = 256'h0000000000000000000000000000000000000000000000000000000000000000;
150 parameter INIT_C = 256'h0000000000000000000000000000000000000000000000000000000000000000;
151 parameter INIT_D = 256'h0000000000000000000000000000000000000000000000000000000000000000;
152 parameter INIT_E = 256'h0000000000000000000000000000000000000000000000000000000000000000;
153 parameter INIT_F = 256'h0000000000000000000000000000000000000000000000000000000000000000;
154 parameter INIT_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
155 parameter INIT_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
156 parameter INIT_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
157 parameter INIT_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
158
159 localparam READ_ADDR_WIDTH =
160 (READ_WIDTH == 16) ? 8 : // 256x16
161 (READ_WIDTH == 8) ? 9 : // 512x8
162 (READ_WIDTH == 4) ? 10 : // 1024x4
163 (READ_WIDTH == 2) ? 11 : // 2048x2
164 (READ_WIDTH == 1) ? 12 : // 4096x1
165 (READ_WIDTH == 20) ? 8 : // 256x20
166 (READ_WIDTH == 10) ? 9 : // 512x10
167 (READ_WIDTH == 5) ? 10 : -1; // 1024x5
168
169 localparam WRITE_ADDR_WIDTH =
170 (WRITE_WIDTH == 16) ? 8 : // 256x16
171 (WRITE_WIDTH == 8) ? 9 : // 512x8
172 (WRITE_WIDTH == 4) ? 10 : // 1024x4
173 (WRITE_WIDTH == 2) ? 11 : // 2048x2
174 (WRITE_WIDTH == 1) ? 12 : // 4096x1
175 (WRITE_WIDTH == 20) ? 8 : // 256x20
176 (WRITE_WIDTH == 10) ? 9 : // 512x10
177 (WRITE_WIDTH == 5) ? 10 : -1; // 1024x5
178
179 endmodule