Revert "Revert "synth_* with -retime option now calls abc with -D 1 as well""
[yosys.git] / techlibs / greenpak4 / Makefile.inc
1
2 OBJS += techlibs/greenpak4/synth_greenpak4.o
3 OBJS += techlibs/greenpak4/greenpak4_dffinv.o
4
5 $(eval $(call add_share_file,share/greenpak4,techlibs/greenpak4/cells_blackbox.v))
6 $(eval $(call add_share_file,share/greenpak4,techlibs/greenpak4/cells_latch.v))
7 $(eval $(call add_share_file,share/greenpak4,techlibs/greenpak4/cells_map.v))
8 $(eval $(call add_share_file,share/greenpak4,techlibs/greenpak4/cells_sim.v))
9 $(eval $(call add_share_file,share/greenpak4,techlibs/greenpak4/cells_sim_ams.v))
10 $(eval $(call add_share_file,share/greenpak4,techlibs/greenpak4/cells_sim_digital.v))
11 $(eval $(call add_share_file,share/greenpak4,techlibs/greenpak4/cells_sim_wip.v))
12 $(eval $(call add_share_file,share/greenpak4,techlibs/greenpak4/gp_dff.lib))