Renamed GreenPAK4 cells, improved GP4 DFF mapping
[yosys.git] / techlibs / greenpak4 / synth_greenpak4.cc
1 /*
2 * yosys -- Yosys Open SYnthesis Suite
3 *
4 * Copyright (C) 2012 Clifford Wolf <clifford@clifford.at>
5 *
6 * Permission to use, copy, modify, and/or distribute this software for any
7 * purpose with or without fee is hereby granted, provided that the above
8 * copyright notice and this permission notice appear in all copies.
9 *
10 * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
11 * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
12 * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
13 * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
14 * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
15 * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
16 * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
17 *
18 */
19
20 #include "kernel/register.h"
21 #include "kernel/celltypes.h"
22 #include "kernel/rtlil.h"
23 #include "kernel/log.h"
24
25 USING_YOSYS_NAMESPACE
26 PRIVATE_NAMESPACE_BEGIN
27
28 bool check_label(bool &active, std::string run_from, std::string run_to, std::string label)
29 {
30 if (label == run_from)
31 active = true;
32 if (label == run_to)
33 active = false;
34 return active;
35 }
36
37 struct SynthGreenPAK4Pass : public Pass {
38 SynthGreenPAK4Pass() : Pass("synth_greenpak4", "synthesis for GreenPAK4 FPGAs") { }
39 virtual void help()
40 {
41 // |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|
42 log("\n");
43 log(" synth_greenpak4 [options]\n");
44 log("\n");
45 log("This command runs synthesis for GreenPAK4 FPGAs. This work is experimental.\n");
46 log("\n");
47 log(" -top <module>\n");
48 log(" use the specified module as top module (default='top')\n");
49 log("\n");
50 log(" -blif <file>\n");
51 log(" write the design to the specified BLIF file. writing of an output file\n");
52 log(" is omitted if this parameter is not specified.\n");
53 log("\n");
54 log(" -edif <file>\n");
55 log(" write the design to the specified edif file. writing of an output file\n");
56 log(" is omitted if this parameter is not specified.\n");
57 log("\n");
58 log(" -run <from_label>:<to_label>\n");
59 log(" only run the commands between the labels (see below). an empty\n");
60 log(" from label is synonymous to 'begin', and empty to label is\n");
61 log(" synonymous to the end of the command list.\n");
62 log("\n");
63 log(" -noflatten\n");
64 log(" do not flatten design before synthesis\n");
65 log("\n");
66 log(" -retime\n");
67 log(" run 'abc' with -dff option\n");
68 log("\n");
69 log("\n");
70 log("The following commands are executed by this synthesis command:\n");
71 log("\n");
72 log(" begin:\n");
73 log(" read_verilog -lib +/greenpak4/cells_sim.v\n");
74 log(" hierarchy -check -top <top>\n");
75 log("\n");
76 log(" flatten: (unless -noflatten)\n");
77 log(" proc\n");
78 log(" flatten\n");
79 log(" tribuf -logic\n");
80 log("\n");
81 log(" coarse:\n");
82 log(" synth -run coarse\n");
83 log("\n");
84 log(" fine:\n");
85 log(" opt -fast -mux_undef -undriven -fine\n");
86 log(" memory_map\n");
87 log(" opt -undriven -fine\n");
88 log(" techmap\n");
89 log(" dfflibmap -prepare -liberty +/greenpak4/gp_dff.lib\n");
90 log(" opt -fast\n");
91 log(" abc -dff (only if -retime)\n");
92 log("\n");
93 log(" map_luts:\n");
94 log(" abc -lut 4\n");
95 log(" clean\n");
96 log("\n");
97 log(" map_cells:\n");
98 log(" techmap -map +/greenpak4/cells_map.v\n");
99 log(" clean\n");
100 log("\n");
101 log(" check:\n");
102 log(" hierarchy -check\n");
103 log(" stat\n");
104 log(" check -noinit\n");
105 log("\n");
106 log(" blif:\n");
107 log(" write_blif -gates -attr -param <file-name>\n");
108 log("\n");
109 log(" edif:\n");
110 log(" write_edif <file-name>\n");
111 log("\n");
112 }
113 virtual void execute(std::vector<std::string> args, RTLIL::Design *design)
114 {
115 std::string top_opt = "-auto-top";
116 std::string run_from, run_to;
117 std::string blif_file, edif_file;
118 bool flatten = true;
119 bool retime = false;
120
121 size_t argidx;
122 for (argidx = 1; argidx < args.size(); argidx++)
123 {
124 if (args[argidx] == "-top" && argidx+1 < args.size()) {
125 top_opt = "-top " + args[++argidx];
126 continue;
127 }
128 if (args[argidx] == "-blif" && argidx+1 < args.size()) {
129 blif_file = args[++argidx];
130 continue;
131 }
132 if (args[argidx] == "-edif" && argidx+1 < args.size()) {
133 edif_file = args[++argidx];
134 continue;
135 }
136 if (args[argidx] == "-run" && argidx+1 < args.size()) {
137 size_t pos = args[argidx+1].find(':');
138 if (pos == std::string::npos)
139 break;
140 run_from = args[++argidx].substr(0, pos);
141 run_to = args[argidx].substr(pos+1);
142 continue;
143 }
144 if (args[argidx] == "-flatten") {
145 flatten = true;
146 continue;
147 }
148 if (args[argidx] == "-noflatten") {
149 flatten = false;
150 continue;
151 }
152 if (args[argidx] == "-retime") {
153 retime = true;
154 continue;
155 }
156 break;
157 }
158 extra_args(args, argidx, design);
159
160 if (!design->full_selection())
161 log_cmd_error("This comannd only operates on fully selected designs!\n");
162
163 bool active = run_from.empty();
164
165 log_header("Executing SYNTH_GREENPAK4 pass.\n");
166 log_push();
167
168 if (check_label(active, run_from, run_to, "begin"))
169 {
170 Pass::call(design, "read_verilog -lib +/greenpak4/cells_sim.v");
171 Pass::call(design, stringf("hierarchy -check %s", top_opt.c_str()));
172 }
173
174 if (flatten && check_label(active, run_from, run_to, "flatten"))
175 {
176 Pass::call(design, "proc");
177 Pass::call(design, "flatten");
178 Pass::call(design, "tribuf -logic");
179 }
180
181 if (check_label(active, run_from, run_to, "coarse"))
182 {
183 Pass::call(design, "synth -run coarse");
184 }
185
186 if (check_label(active, run_from, run_to, "fine"))
187 {
188 Pass::call(design, "opt -fast -mux_undef -undriven -fine");
189 Pass::call(design, "memory_map");
190 Pass::call(design, "opt -undriven -fine");
191 Pass::call(design, "techmap");
192 Pass::call(design, "dfflibmap -prepare -liberty +/greenpak4/gp_dff.lib");
193 Pass::call(design, "opt -fast");
194 if (retime)
195 Pass::call(design, "abc -dff");
196 }
197
198 if (check_label(active, run_from, run_to, "map_luts"))
199 {
200 Pass::call(design, "abc -lut 4");
201 Pass::call(design, "clean");
202 }
203
204 if (check_label(active, run_from, run_to, "map_cells"))
205 {
206 Pass::call(design, "techmap -map +/greenpak4/cells_map.v");
207 Pass::call(design, "clean");
208 }
209
210 if (check_label(active, run_from, run_to, "check"))
211 {
212 Pass::call(design, "hierarchy -check");
213 Pass::call(design, "stat");
214 Pass::call(design, "check -noinit");
215 }
216
217 if (check_label(active, run_from, run_to, "blif"))
218 {
219 if (!blif_file.empty())
220 Pass::call(design, stringf("write_blif -gates -attr -param %s", blif_file.c_str()));
221 }
222
223 if (check_label(active, run_from, run_to, "edif"))
224 {
225 if (!edif_file.empty())
226 Pass::call(design, stringf("write_edif %s", edif_file.c_str()));
227 }
228
229 log_pop();
230 }
231 } SynthGreenPAK4Pass;
232
233 PRIVATE_NAMESPACE_END