Merge pull request #1379 from mmicko/sim_models
[yosys.git] / techlibs / ice40 / Makefile.inc
1
2 OBJS += techlibs/ice40/synth_ice40.o
3 OBJS += techlibs/ice40/ice40_braminit.o
4 OBJS += techlibs/ice40/ice40_ffssr.o
5 OBJS += techlibs/ice40/ice40_ffinit.o
6 OBJS += techlibs/ice40/ice40_opt.o
7
8 GENFILES += techlibs/ice40/brams_init1.vh
9 GENFILES += techlibs/ice40/brams_init2.vh
10 GENFILES += techlibs/ice40/brams_init3.vh
11
12 EXTRA_OBJS += techlibs/ice40/brams_init.mk
13 .SECONDARY: techlibs/ice40/brams_init.mk
14
15 techlibs/ice40/brams_init.mk: techlibs/ice40/brams_init.py
16 $(Q) mkdir -p techlibs/ice40
17 $(P) python3 $<
18 $(Q) touch techlibs/ice40/brams_init.mk
19
20 techlibs/ice40/brams_init1.vh: techlibs/ice40/brams_init.mk
21 techlibs/ice40/brams_init2.vh: techlibs/ice40/brams_init.mk
22 techlibs/ice40/brams_init3.vh: techlibs/ice40/brams_init.mk
23
24 $(eval $(call add_share_file,share/ice40,techlibs/ice40/arith_map.v))
25 $(eval $(call add_share_file,share/ice40,techlibs/ice40/cells_map.v))
26 $(eval $(call add_share_file,share/ice40,techlibs/ice40/cells_sim.v))
27 $(eval $(call add_share_file,share/ice40,techlibs/ice40/latches_map.v))
28 $(eval $(call add_share_file,share/ice40,techlibs/ice40/brams.txt))
29 $(eval $(call add_share_file,share/ice40,techlibs/ice40/brams_map.v))
30 $(eval $(call add_share_file,share/ice40,techlibs/ice40/abc_hx.box))
31 $(eval $(call add_share_file,share/ice40,techlibs/ice40/abc_hx.lut))
32 $(eval $(call add_share_file,share/ice40,techlibs/ice40/abc_lp.box))
33 $(eval $(call add_share_file,share/ice40,techlibs/ice40/abc_lp.lut))
34 $(eval $(call add_share_file,share/ice40,techlibs/ice40/abc_u.box))
35 $(eval $(call add_share_file,share/ice40,techlibs/ice40/abc_u.lut))
36
37 $(eval $(call add_gen_share_file,share/ice40,techlibs/ice40/brams_init1.vh))
38 $(eval $(call add_gen_share_file,share/ice40,techlibs/ice40/brams_init2.vh))
39 $(eval $(call add_gen_share_file,share/ice40,techlibs/ice40/brams_init3.vh))
40