iCE40 bram progress
[yosys.git] / techlibs / ice40 / brams_map.v
1
2 module \$__ICE40_RAM4K_M0 (CLK2, CLK3, A1ADDR, A1DATA, B1ADDR, B1DATA, B1EN);
3 parameter [0:0] CLKPOL2 = 1;
4 parameter [0:0] CLKPOL3 = 1;
5
6 input CLK2;
7 input CLK3;
8
9 input [7:0] A1ADDR;
10 output [15:0] A1DATA;
11
12 input [7:0] B1ADDR;
13 input [15:0] B1DATA;
14 input [15:0] B1EN;
15
16 wire [10:0] A1ADDR_11 = A1ADDR;
17 wire [10:0] B1ADDR_11 = B1ADDR;
18
19 generate
20 case ({CLKPOL2, CLKPOL3})
21 2'b00:
22 SB_RAM40_4KNRNW #(.WRITE_MODE(0), .READ_MODE(0)) _TECHMAP_REPLACE_ (
23 .RDATA(A1DATA), .RADDR(A1ADDR_11), .RCLK(CLK2), .RCLKE(1'b1), .RE(1'b1),
24 .WDATA(B1DATA), .WADDR(B1ADDR_11), .MASK(~B1EN), .WCLK(CLK3), .WCLKE(1'b1), .WE(|B1EN)
25 );
26 2'b01:
27 SB_RAM40_4KNR #(.WRITE_MODE(0), .READ_MODE(0)) _TECHMAP_REPLACE_ (
28 .RDATA(A1DATA), .RADDR(A1ADDR_11), .RCLK(CLK2), .RCLKE(1'b1), .RE(1'b1),
29 .WDATA(B1DATA), .WADDR(B1ADDR_11), .MASK(~B1EN), .WCLK(CLK3), .WCLKE(1'b1), .WE(|B1EN)
30 );
31 2'b10:
32 SB_RAM40_4KNW #(.WRITE_MODE(0), .READ_MODE(0)) _TECHMAP_REPLACE_ (
33 .RDATA(A1DATA), .RADDR(A1ADDR_11), .RCLK(CLK2), .RCLKE(1'b1), .RE(1'b1),
34 .WDATA(B1DATA), .WADDR(B1ADDR_11), .MASK(~B1EN), .WCLK(CLK3), .WCLKE(1'b1), .WE(|B1EN)
35 );
36 2'b11:
37 SB_RAM40_4K #(.WRITE_MODE(0), .READ_MODE(0)) _TECHMAP_REPLACE_ (
38 .RDATA(A1DATA), .RADDR(A1ADDR_11), .RCLK(CLK2), .RCLKE(1'b1), .RE(1'b1),
39 .WDATA(B1DATA), .WADDR(B1ADDR_11), .MASK(~B1EN), .WCLK(CLK3), .WCLKE(1'b1), .WE(|B1EN)
40 );
41 endcase
42 endgenerate
43 endmodule
44
45 module \$__ICE40_RAM4K_M123 (CLK2, CLK3, A1ADDR, A1DATA, B1ADDR, B1DATA, B1EN);
46 parameter CFG_ABITS = 9;
47 parameter CFG_DBITS = 8;
48
49 parameter [0:0] CLKPOL2 = 1;
50 parameter [0:0] CLKPOL3 = 1;
51
52 localparam MODE =
53 CFG_ABITS == 9 ? 1 :
54 CFG_ABITS == 10 ? 2 :
55 CFG_ABITS == 11 ? 3 : 'bx;
56
57 input CLK2;
58 input CLK3;
59
60 input [CFG_ABITS-1:0] A1ADDR;
61 output [CFG_DBITS-1:0] A1DATA;
62
63 input [CFG_ABITS-1:0] B1ADDR;
64 input [CFG_DBITS-1:0] B1DATA;
65 input B1EN;
66
67 wire [10:0] A1ADDR_11 = A1ADDR;
68 wire [10:0] B1ADDR_11 = B1ADDR;
69
70 wire [15:0] A1DATA_16, B1DATA_16;
71
72 generate
73 if (MODE == 1) begin
74 assign A1DATA = {A1DATA_16[14], A1DATA_16[12], A1DATA_16[10], A1DATA_16[ 8],
75 A1DATA_16[ 6], A1DATA_16[ 4], A1DATA_16[ 2], A1DATA_16[ 0]};
76 assign B1DATA_16 = {B1DATA[7], B1DATA[7], B1DATA[6], B1DATA[6], B1DATA[5], B1DATA[5], B1DATA[4], B1DATA[4],
77 B1DATA[3], B1DATA[3], B1DATA[2], B1DATA[2], B1DATA[1], B1DATA[1], B1DATA[0], B1DATA[0]};
78 end
79 if (MODE == 2) begin
80 assign A1DATA = {A1DATA_16[13], A1DATA_16[9], A1DATA_16[5], A1DATA_16[1]};
81 assign B1DATA_16 = {B1DATA[3], B1DATA[3], B1DATA[3], B1DATA[3], B1DATA[2], B1DATA[2], B1DATA[2], B1DATA[2],
82 B1DATA[1], B1DATA[1], B1DATA[1], B1DATA[1], B1DATA[0], B1DATA[0], B1DATA[0], B1DATA[0]};
83 end
84 if (MODE == 3) begin
85 assign A1DATA = {A1DATA_16[11], A1DATA_16[3]};
86 assign B1DATA_16 = {B1DATA[1], B1DATA[1], B1DATA[1], B1DATA[1], B1DATA[1], B1DATA[1], B1DATA[1], B1DATA[1],
87 B1DATA[0], B1DATA[0], B1DATA[0], B1DATA[0], B1DATA[0], B1DATA[0], B1DATA[0], B1DATA[0]};
88 end
89 endgenerate
90
91 generate
92 case ({CLKPOL2, CLKPOL3})
93 2'b00:
94 SB_RAM40_4KNRNW #(.WRITE_MODE(MODE), .READ_MODE(MODE)) _TECHMAP_REPLACE_ (
95 .RDATA(A1DATA_16), .RADDR(A1ADDR_11), .RCLK(CLK2), .RCLKE(1'b1), .RE(1'b1),
96 .WDATA(B1DATA_16), .WADDR(B1ADDR_11), .WCLK(CLK3), .WCLKE(1'b1), .WE(B1EN)
97 );
98 2'b01:
99 SB_RAM40_4KNR #(.WRITE_MODE(MODE), .READ_MODE(MODE)) _TECHMAP_REPLACE_ (
100 .RDATA(A1DATA_16), .RADDR(A1ADDR_11), .RCLK(CLK2), .RCLKE(1'b1), .RE(1'b1),
101 .WDATA(B1DATA_16), .WADDR(B1ADDR_11), .WCLK(CLK3), .WCLKE(1'b1), .WE(B1EN)
102 );
103 2'b10:
104 SB_RAM40_4RNW #(.WRITE_MODE(MODE), .READ_MODE(MODE)) _TECHMAP_REPLACE_ (
105 .RDATA(A1DATA_16), .RADDR(A1ADDR_11), .RCLK(CLK2), .RCLKE(1'b1), .RE(1'b1),
106 .WDATA(B1DATA_16), .WADDR(B1ADDR_11), .WCLK(CLK3), .WCLKE(1'b1), .WE(B1EN)
107 );
108 2'b11:
109 SB_RAM40_4K #(.WRITE_MODE(MODE), .READ_MODE(MODE)) _TECHMAP_REPLACE_ (
110 .RDATA(A1DATA_16), .RADDR(A1ADDR_11), .RCLK(CLK2), .RCLKE(1'b1), .RE(1'b1),
111 .WDATA(B1DATA_16), .WADDR(B1ADDR_11), .WCLK(CLK3), .WCLKE(1'b1), .WE(B1EN)
112 );
113 endcase
114 endgenerate
115 endmodule
116