Get rid of dffsr2dff.
[yosys.git] / techlibs / ice40 / dsp_map.v
1 module \$__MUL16X16 (input [15:0] A, input [15:0] B, output [31:0] Y);
2 parameter A_SIGNED = 0;
3 parameter B_SIGNED = 0;
4 parameter A_WIDTH = 0;
5 parameter B_WIDTH = 0;
6 parameter Y_WIDTH = 0;
7
8 SB_MAC16 #(
9 .NEG_TRIGGER(1'b0),
10 .C_REG(1'b0),
11 .A_REG(1'b0),
12 .B_REG(1'b0),
13 .D_REG(1'b0),
14 .TOP_8x8_MULT_REG(1'b0),
15 .BOT_8x8_MULT_REG(1'b0),
16 .PIPELINE_16x16_MULT_REG1(1'b0),
17 .PIPELINE_16x16_MULT_REG2(1'b0),
18 .TOPOUTPUT_SELECT(2'b11),
19 .TOPADDSUB_LOWERINPUT(2'b0),
20 .TOPADDSUB_UPPERINPUT(1'b0),
21 .TOPADDSUB_CARRYSELECT(2'b0),
22 .BOTOUTPUT_SELECT(2'b11),
23 .BOTADDSUB_LOWERINPUT(2'b0),
24 .BOTADDSUB_UPPERINPUT(1'b0),
25 .BOTADDSUB_CARRYSELECT(2'b0),
26 .MODE_8x8(1'b0),
27 .A_SIGNED(A_SIGNED),
28 .B_SIGNED(B_SIGNED)
29 ) _TECHMAP_REPLACE_ (
30 .A(A),
31 .B(B),
32 .O(Y),
33 );
34 endmodule