Merge pull request #771 from whitequark/techmap_cmp2lut
[yosys.git] / techlibs / ice40 / synth_ice40.cc
1 /*
2 * yosys -- Yosys Open SYnthesis Suite
3 *
4 * Copyright (C) 2012 Clifford Wolf <clifford@clifford.at>
5 *
6 * Permission to use, copy, modify, and/or distribute this software for any
7 * purpose with or without fee is hereby granted, provided that the above
8 * copyright notice and this permission notice appear in all copies.
9 *
10 * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
11 * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
12 * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
13 * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
14 * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
15 * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
16 * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
17 *
18 */
19
20 #include "kernel/register.h"
21 #include "kernel/celltypes.h"
22 #include "kernel/rtlil.h"
23 #include "kernel/log.h"
24
25 USING_YOSYS_NAMESPACE
26 PRIVATE_NAMESPACE_BEGIN
27
28 struct SynthIce40Pass : public ScriptPass
29 {
30 SynthIce40Pass() : ScriptPass("synth_ice40", "synthesis for iCE40 FPGAs") { }
31
32 void help() YS_OVERRIDE
33 {
34 // |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|
35 log("\n");
36 log(" synth_ice40 [options]\n");
37 log("\n");
38 log("This command runs synthesis for iCE40 FPGAs.\n");
39 log("\n");
40 log(" -top <module>\n");
41 log(" use the specified module as top module\n");
42 log("\n");
43 log(" -blif <file>\n");
44 log(" write the design to the specified BLIF file. writing of an output file\n");
45 log(" is omitted if this parameter is not specified.\n");
46 log("\n");
47 log(" -edif <file>\n");
48 log(" write the design to the specified EDIF file. writing of an output file\n");
49 log(" is omitted if this parameter is not specified.\n");
50 log("\n");
51 log(" -json <file>\n");
52 log(" write the design to the specified JSON file. writing of an output file\n");
53 log(" is omitted if this parameter is not specified.\n");
54 log("\n");
55 log(" -run <from_label>:<to_label>\n");
56 log(" only run the commands between the labels (see below). an empty\n");
57 log(" from label is synonymous to 'begin', and empty to label is\n");
58 log(" synonymous to the end of the command list.\n");
59 log("\n");
60 log(" -noflatten\n");
61 log(" do not flatten design before synthesis\n");
62 log("\n");
63 log(" -retime\n");
64 log(" run 'abc' with -dff option\n");
65 log("\n");
66 log(" -relut\n");
67 log(" combine LUTs after synthesis\n");
68 log("\n");
69 log(" -nocarry\n");
70 log(" do not use SB_CARRY cells in output netlist\n");
71 log("\n");
72 log(" -nodffe\n");
73 log(" do not use SB_DFFE* cells in output netlist\n");
74 log("\n");
75 log(" -dffe_min_ce_use <min_ce_use>\n");
76 log(" do not use SB_DFFE* cells if the resulting CE line would go to less\n");
77 log(" than min_ce_use SB_DFFE*in output netlist\n");
78 log("\n");
79 log(" -nobram\n");
80 log(" do not use SB_RAM40_4K* cells in output netlist\n");
81 log("\n");
82 log(" -noabc\n");
83 log(" use built-in Yosys LUT techmapping instead of abc\n");
84 log("\n");
85 log(" -abc2\n");
86 log(" run two passes of 'abc' for slightly improved logic density\n");
87 log("\n");
88 log(" -vpr\n");
89 log(" generate an output netlist (and BLIF file) suitable for VPR\n");
90 log(" (this feature is experimental and incomplete)\n");
91 log("\n");
92 log("\n");
93 log("The following commands are executed by this synthesis command:\n");
94 help_script();
95 log("\n");
96 }
97
98 string top_opt, blif_file, edif_file, json_file;
99 bool nocarry, nodffe, nobram, flatten, retime, relut, noabc, abc2, vpr;
100 int min_ce_use;
101
102 void clear_flags() YS_OVERRIDE
103 {
104 top_opt = "-auto-top";
105 blif_file = "";
106 edif_file = "";
107 json_file = "";
108 nocarry = false;
109 nodffe = false;
110 min_ce_use = -1;
111 nobram = false;
112 flatten = true;
113 retime = false;
114 relut = false;
115 noabc = false;
116 abc2 = false;
117 vpr = false;
118 }
119
120 void execute(std::vector<std::string> args, RTLIL::Design *design) YS_OVERRIDE
121 {
122 string run_from, run_to;
123 clear_flags();
124
125 size_t argidx;
126 for (argidx = 1; argidx < args.size(); argidx++)
127 {
128 if (args[argidx] == "-top" && argidx+1 < args.size()) {
129 top_opt = "-top " + args[++argidx];
130 continue;
131 }
132 if (args[argidx] == "-blif" && argidx+1 < args.size()) {
133 blif_file = args[++argidx];
134 continue;
135 }
136 if (args[argidx] == "-edif" && argidx+1 < args.size()) {
137 edif_file = args[++argidx];
138 continue;
139 }
140 if (args[argidx] == "-json" && argidx+1 < args.size()) {
141 json_file = args[++argidx];
142 continue;
143 }
144 if (args[argidx] == "-run" && argidx+1 < args.size()) {
145 size_t pos = args[argidx+1].find(':');
146 if (pos == std::string::npos)
147 break;
148 run_from = args[++argidx].substr(0, pos);
149 run_to = args[argidx].substr(pos+1);
150 continue;
151 }
152 if (args[argidx] == "-flatten") {
153 flatten = true;
154 continue;
155 }
156 if (args[argidx] == "-noflatten") {
157 flatten = false;
158 continue;
159 }
160 if (args[argidx] == "-retime") {
161 retime = true;
162 continue;
163 }
164 if (args[argidx] == "-relut") {
165 relut = true;
166 continue;
167 }
168 if (args[argidx] == "-nocarry") {
169 nocarry = true;
170 continue;
171 }
172 if (args[argidx] == "-nodffe") {
173 nodffe = true;
174 continue;
175 }
176 if (args[argidx] == "-dffe_min_ce_use" && argidx+1 < args.size()) {
177 min_ce_use = std::stoi(args[++argidx]);
178 continue;
179 }
180 if (args[argidx] == "-nobram") {
181 nobram = true;
182 continue;
183 }
184 if (args[argidx] == "-noabc") {
185 noabc = true;
186 continue;
187 }
188 if (args[argidx] == "-abc2") {
189 abc2 = true;
190 continue;
191 }
192 if (args[argidx] == "-vpr") {
193 vpr = true;
194 continue;
195 }
196 break;
197 }
198 extra_args(args, argidx, design);
199
200 if (!design->full_selection())
201 log_cmd_error("This command only operates on fully selected designs!\n");
202
203 log_header(design, "Executing SYNTH_ICE40 pass.\n");
204 log_push();
205
206 run_script(design, run_from, run_to);
207
208 log_pop();
209 }
210
211 void script() YS_OVERRIDE
212 {
213 if (check_label("begin"))
214 {
215 run("read_verilog -lib +/ice40/cells_sim.v");
216 run(stringf("hierarchy -check %s", help_mode ? "-top <top>" : top_opt.c_str()));
217 }
218
219 if (flatten && check_label("flatten", "(unless -noflatten)"))
220 {
221 run("proc");
222 run("flatten");
223 run("tribuf -logic");
224 run("deminout");
225 }
226
227 if (check_label("coarse"))
228 {
229 run("synth -run coarse");
230 }
231
232 if (!nobram && check_label("bram", "(skip if -nobram)"))
233 {
234 run("memory_bram -rules +/ice40/brams.txt");
235 run("techmap -map +/ice40/brams_map.v");
236 }
237
238 if (check_label("map"))
239 {
240 run("opt -fast -mux_undef -undriven -fine");
241 run("memory_map");
242 run("opt -undriven -fine");
243 }
244
245 if (check_label("map_gates"))
246 {
247 if (nocarry)
248 run("techmap");
249 else
250 run("techmap -map +/techmap.v -map +/ice40/arith_map.v");
251 if (retime || help_mode)
252 run("abc -dff", "(only if -retime)");
253 run("ice40_opt");
254 }
255
256 if (check_label("map_ffs"))
257 {
258 run("dffsr2dff");
259 if (!nodffe)
260 run("dff2dffe -direct-match $_DFF_*");
261 if (min_ce_use >= 0) {
262 run("opt_merge");
263 run(stringf("dff2dffe -unmap-mince %d", min_ce_use));
264 }
265 run("techmap -D NO_LUT -map +/ice40/cells_map.v");
266 run("opt_expr -mux_undef");
267 run("simplemap");
268 run("ice40_ffinit");
269 run("ice40_ffssr");
270 run("ice40_opt -full");
271 }
272
273 if (check_label("map_luts"))
274 {
275 if (abc2 || help_mode) {
276 run("abc", " (only if -abc2)");
277 run("ice40_opt", "(only if -abc2)");
278 }
279 run("techmap -map +/ice40/latches_map.v");
280 if (noabc || help_mode) {
281 run("simplemap", " (only if -noabc)");
282 run("techmap -map +/gate2lut.v -D LUT_WIDTH=4", "(only if -noabc)");
283 }
284 if (!noabc) {
285 run("abc -lut 4", "(skip if -noabc)");
286 }
287 run("clean");
288 if (relut || help_mode) {
289 run("ice40_unlut", " (only if -relut)");
290 run("opt_lut -dlogic SB_CARRY:I0=1:I1=2:CI=3", "(only if -relut)");
291 }
292 }
293
294 if (check_label("map_cells"))
295 {
296 if (vpr)
297 run("techmap -D NO_LUT -map +/ice40/cells_map.v");
298 else
299 run("techmap -map +/ice40/cells_map.v", "(with -D NO_LUT in vpr mode)");
300
301 run("clean");
302 }
303
304 if (check_label("check"))
305 {
306 run("hierarchy -check");
307 run("stat");
308 run("check -noinit");
309 }
310
311 if (check_label("blif"))
312 {
313 if (!blif_file.empty() || help_mode) {
314 if (vpr || help_mode) {
315 run(stringf("opt_clean -purge"),
316 " (vpr mode)");
317 run(stringf("write_blif -attr -cname -conn -param %s",
318 help_mode ? "<file-name>" : blif_file.c_str()),
319 " (vpr mode)");
320 }
321 if (!vpr)
322 run(stringf("write_blif -gates -attr -param %s",
323 help_mode ? "<file-name>" : blif_file.c_str()),
324 " (non-vpr mode)");
325 }
326 }
327
328 if (check_label("edif"))
329 {
330 if (!edif_file.empty() || help_mode)
331 run(stringf("write_edif %s", help_mode ? "<file-name>" : edif_file.c_str()));
332 }
333
334 if (check_label("json"))
335 {
336 if (!json_file.empty() || help_mode)
337 run(stringf("write_json %s", help_mode ? "<file-name>" : json_file.c_str()));
338 }
339 }
340 } SynthIce40Pass;
341
342 PRIVATE_NAMESPACE_END