synth_nexus: Initial implementation
[yosys.git] / techlibs / nexus / lutrams.txt
1 bram $__NEXUS_DPR16X4
2 init 1
3 abits 4
4 dbits 4
5 groups 2
6 ports 1 1
7 wrmode 0 1
8 enable 0 1
9 transp 0 0
10 clocks 0 1
11 clkpol 0 2
12 endbram
13
14 # The syn_* attributes are described in:
15 # https://www.latticesemi.com/-/media/LatticeSemi/Documents/Tutorials/AK/LatticeDiamondTutorial311.ashx
16 attr_icase 1
17
18 match $__NEXUS_DPR16X4
19 attribute !syn_ramstyle syn_ramstyle=auto syn_ramstyle=distributed
20 attribute !syn_romstyle syn_romstyle=auto
21 attribute !ram_block
22 attribute !rom_block
23 attribute !logic_block
24 make_outreg
25 min wports 1
26 endmatch