Add (* abc_flop_q *) to brams_bb.v
[yosys.git] / techlibs / xilinx / .gitignore
1 brams_init.mk
2 brams_init_*.vh