Merge remote-tracking branch 'origin/xc7mux' into xaig
[yosys.git] / techlibs / xilinx / brams_bb.v
1 module RAMB18E1 (
2 input CLKARDCLK,
3 input CLKBWRCLK,
4 input ENARDEN,
5 input ENBWREN,
6 input REGCEAREGCE,
7 input REGCEB,
8 input RSTRAMARSTRAM,
9 input RSTRAMB,
10 input RSTREGARSTREG,
11 input RSTREGB,
12
13 input [13:0] ADDRARDADDR,
14 input [13:0] ADDRBWRADDR,
15 input [15:0] DIADI,
16 input [15:0] DIBDI,
17 input [1:0] DIPADIP,
18 input [1:0] DIPBDIP,
19 input [1:0] WEA,
20 input [3:0] WEBWE,
21
22 (* abc_flop_q *) output [15:0] DOADO,
23 (* abc_flop_q *) output [15:0] DOBDO,
24 (* abc_flop_q *) output [1:0] DOPADOP,
25 (* abc_flop_q *) output [1:0] DOPBDOP
26 );
27 parameter INITP_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
28 parameter INITP_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
29 parameter INITP_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
30 parameter INITP_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
31 parameter INITP_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
32 parameter INITP_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
33 parameter INITP_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
34 parameter INITP_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
35
36 parameter INIT_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
37 parameter INIT_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
38 parameter INIT_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
39 parameter INIT_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
40 parameter INIT_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
41 parameter INIT_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
42 parameter INIT_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
43 parameter INIT_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
44 parameter INIT_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
45 parameter INIT_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
46 parameter INIT_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000;
47 parameter INIT_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000;
48 parameter INIT_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000;
49 parameter INIT_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000;
50 parameter INIT_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000;
51 parameter INIT_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000;
52 parameter INIT_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
53 parameter INIT_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
54 parameter INIT_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
55 parameter INIT_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
56 parameter INIT_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
57 parameter INIT_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
58 parameter INIT_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
59 parameter INIT_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
60 parameter INIT_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
61 parameter INIT_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
62 parameter INIT_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000;
63 parameter INIT_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000;
64 parameter INIT_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000;
65 parameter INIT_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000;
66 parameter INIT_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000;
67 parameter INIT_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000;
68 parameter INIT_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
69 parameter INIT_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
70 parameter INIT_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
71 parameter INIT_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
72 parameter INIT_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
73 parameter INIT_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
74 parameter INIT_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
75 parameter INIT_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
76 parameter INIT_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
77 parameter INIT_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
78 parameter INIT_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000;
79 parameter INIT_2B = 256'h0000000000000000000000000000000000000000000000000000000000000000;
80 parameter INIT_2C = 256'h0000000000000000000000000000000000000000000000000000000000000000;
81 parameter INIT_2D = 256'h0000000000000000000000000000000000000000000000000000000000000000;
82 parameter INIT_2E = 256'h0000000000000000000000000000000000000000000000000000000000000000;
83 parameter INIT_2F = 256'h0000000000000000000000000000000000000000000000000000000000000000;
84 parameter INIT_30 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
85 parameter INIT_31 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
86 parameter INIT_32 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
87 parameter INIT_33 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
88 parameter INIT_34 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
89 parameter INIT_35 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
90 parameter INIT_36 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
91 parameter INIT_37 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
92 parameter INIT_38 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
93 parameter INIT_39 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
94 parameter INIT_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000;
95 parameter INIT_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000;
96 parameter INIT_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000;
97 parameter INIT_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000;
98 parameter INIT_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000;
99 parameter INIT_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000;
100
101 parameter IS_CLKARDCLK_INVERTED = 1'b0;
102 parameter IS_CLKBWRCLK_INVERTED = 1'b0;
103 parameter IS_ENARDEN_INVERTED = 1'b0;
104 parameter IS_ENBWREN_INVERTED = 1'b0;
105 parameter IS_RSTRAMARSTRAM_INVERTED = 1'b0;
106 parameter IS_RSTRAMB_INVERTED = 1'b0;
107 parameter IS_RSTREGARSTREG_INVERTED = 1'b0;
108 parameter IS_RSTREGB_INVERTED = 1'b0;
109
110 parameter RAM_MODE = "TDP";
111 parameter integer DOA_REG = 0;
112 parameter integer DOB_REG = 0;
113
114 parameter integer READ_WIDTH_A = 0;
115 parameter integer READ_WIDTH_B = 0;
116 parameter integer WRITE_WIDTH_A = 0;
117 parameter integer WRITE_WIDTH_B = 0;
118
119 parameter WRITE_MODE_A = "WRITE_FIRST";
120 parameter WRITE_MODE_B = "WRITE_FIRST";
121
122 parameter SIM_DEVICE = "VIRTEX6";
123 endmodule
124
125 module RAMB36E1 (
126 input CLKARDCLK,
127 input CLKBWRCLK,
128 input ENARDEN,
129 input ENBWREN,
130 input REGCEAREGCE,
131 input REGCEB,
132 input RSTRAMARSTRAM,
133 input RSTRAMB,
134 input RSTREGARSTREG,
135 input RSTREGB,
136
137 input [15:0] ADDRARDADDR,
138 input [15:0] ADDRBWRADDR,
139 input [31:0] DIADI,
140 input [31:0] DIBDI,
141 input [3:0] DIPADIP,
142 input [3:0] DIPBDIP,
143 input [3:0] WEA,
144 input [7:0] WEBWE,
145
146 (* abc_flop_q *) output [31:0] DOADO,
147 (* abc_flop_q *) output [31:0] DOBDO,
148 (* abc_flop_q *) output [3:0] DOPADOP,
149 (* abc_flop_q *) output [3:0] DOPBDOP
150 );
151 parameter INITP_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
152 parameter INITP_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
153 parameter INITP_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
154 parameter INITP_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
155 parameter INITP_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
156 parameter INITP_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
157 parameter INITP_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
158 parameter INITP_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
159 parameter INITP_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
160 parameter INITP_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
161 parameter INITP_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000;
162 parameter INITP_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000;
163 parameter INITP_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000;
164 parameter INITP_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000;
165 parameter INITP_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000;
166 parameter INITP_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000;
167
168 parameter INIT_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
169 parameter INIT_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
170 parameter INIT_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
171 parameter INIT_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
172 parameter INIT_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
173 parameter INIT_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
174 parameter INIT_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
175 parameter INIT_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
176 parameter INIT_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
177 parameter INIT_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
178 parameter INIT_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000;
179 parameter INIT_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000;
180 parameter INIT_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000;
181 parameter INIT_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000;
182 parameter INIT_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000;
183 parameter INIT_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000;
184 parameter INIT_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
185 parameter INIT_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
186 parameter INIT_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
187 parameter INIT_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
188 parameter INIT_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
189 parameter INIT_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
190 parameter INIT_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
191 parameter INIT_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
192 parameter INIT_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
193 parameter INIT_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
194 parameter INIT_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000;
195 parameter INIT_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000;
196 parameter INIT_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000;
197 parameter INIT_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000;
198 parameter INIT_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000;
199 parameter INIT_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000;
200 parameter INIT_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
201 parameter INIT_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
202 parameter INIT_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
203 parameter INIT_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
204 parameter INIT_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
205 parameter INIT_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
206 parameter INIT_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
207 parameter INIT_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
208 parameter INIT_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
209 parameter INIT_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
210 parameter INIT_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000;
211 parameter INIT_2B = 256'h0000000000000000000000000000000000000000000000000000000000000000;
212 parameter INIT_2C = 256'h0000000000000000000000000000000000000000000000000000000000000000;
213 parameter INIT_2D = 256'h0000000000000000000000000000000000000000000000000000000000000000;
214 parameter INIT_2E = 256'h0000000000000000000000000000000000000000000000000000000000000000;
215 parameter INIT_2F = 256'h0000000000000000000000000000000000000000000000000000000000000000;
216 parameter INIT_30 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
217 parameter INIT_31 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
218 parameter INIT_32 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
219 parameter INIT_33 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
220 parameter INIT_34 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
221 parameter INIT_35 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
222 parameter INIT_36 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
223 parameter INIT_37 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
224 parameter INIT_38 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
225 parameter INIT_39 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
226 parameter INIT_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000;
227 parameter INIT_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000;
228 parameter INIT_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000;
229 parameter INIT_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000;
230 parameter INIT_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000;
231 parameter INIT_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000;
232 parameter INIT_40 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
233 parameter INIT_41 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
234 parameter INIT_42 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
235 parameter INIT_43 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
236 parameter INIT_44 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
237 parameter INIT_45 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
238 parameter INIT_46 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
239 parameter INIT_47 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
240 parameter INIT_48 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
241 parameter INIT_49 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
242 parameter INIT_4A = 256'h0000000000000000000000000000000000000000000000000000000000000000;
243 parameter INIT_4B = 256'h0000000000000000000000000000000000000000000000000000000000000000;
244 parameter INIT_4C = 256'h0000000000000000000000000000000000000000000000000000000000000000;
245 parameter INIT_4D = 256'h0000000000000000000000000000000000000000000000000000000000000000;
246 parameter INIT_4E = 256'h0000000000000000000000000000000000000000000000000000000000000000;
247 parameter INIT_4F = 256'h0000000000000000000000000000000000000000000000000000000000000000;
248 parameter INIT_50 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
249 parameter INIT_51 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
250 parameter INIT_52 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
251 parameter INIT_53 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
252 parameter INIT_54 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
253 parameter INIT_55 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
254 parameter INIT_56 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
255 parameter INIT_57 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
256 parameter INIT_58 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
257 parameter INIT_59 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
258 parameter INIT_5A = 256'h0000000000000000000000000000000000000000000000000000000000000000;
259 parameter INIT_5B = 256'h0000000000000000000000000000000000000000000000000000000000000000;
260 parameter INIT_5C = 256'h0000000000000000000000000000000000000000000000000000000000000000;
261 parameter INIT_5D = 256'h0000000000000000000000000000000000000000000000000000000000000000;
262 parameter INIT_5E = 256'h0000000000000000000000000000000000000000000000000000000000000000;
263 parameter INIT_5F = 256'h0000000000000000000000000000000000000000000000000000000000000000;
264 parameter INIT_60 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
265 parameter INIT_61 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
266 parameter INIT_62 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
267 parameter INIT_63 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
268 parameter INIT_64 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
269 parameter INIT_65 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
270 parameter INIT_66 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
271 parameter INIT_67 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
272 parameter INIT_68 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
273 parameter INIT_69 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
274 parameter INIT_6A = 256'h0000000000000000000000000000000000000000000000000000000000000000;
275 parameter INIT_6B = 256'h0000000000000000000000000000000000000000000000000000000000000000;
276 parameter INIT_6C = 256'h0000000000000000000000000000000000000000000000000000000000000000;
277 parameter INIT_6D = 256'h0000000000000000000000000000000000000000000000000000000000000000;
278 parameter INIT_6E = 256'h0000000000000000000000000000000000000000000000000000000000000000;
279 parameter INIT_6F = 256'h0000000000000000000000000000000000000000000000000000000000000000;
280 parameter INIT_70 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
281 parameter INIT_71 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
282 parameter INIT_72 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
283 parameter INIT_73 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
284 parameter INIT_74 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
285 parameter INIT_75 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
286 parameter INIT_76 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
287 parameter INIT_77 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
288 parameter INIT_78 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
289 parameter INIT_79 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
290 parameter INIT_7A = 256'h0000000000000000000000000000000000000000000000000000000000000000;
291 parameter INIT_7B = 256'h0000000000000000000000000000000000000000000000000000000000000000;
292 parameter INIT_7C = 256'h0000000000000000000000000000000000000000000000000000000000000000;
293 parameter INIT_7D = 256'h0000000000000000000000000000000000000000000000000000000000000000;
294 parameter INIT_7E = 256'h0000000000000000000000000000000000000000000000000000000000000000;
295 parameter INIT_7F = 256'h0000000000000000000000000000000000000000000000000000000000000000;
296
297 parameter IS_CLKARDCLK_INVERTED = 1'b0;
298 parameter IS_CLKBWRCLK_INVERTED = 1'b0;
299 parameter IS_ENARDEN_INVERTED = 1'b0;
300 parameter IS_ENBWREN_INVERTED = 1'b0;
301 parameter IS_RSTRAMARSTRAM_INVERTED = 1'b0;
302 parameter IS_RSTRAMB_INVERTED = 1'b0;
303 parameter IS_RSTREGARSTREG_INVERTED = 1'b0;
304 parameter IS_RSTREGB_INVERTED = 1'b0;
305
306 parameter RAM_MODE = "TDP";
307 parameter integer DOA_REG = 0;
308 parameter integer DOB_REG = 0;
309
310 parameter integer READ_WIDTH_A = 0;
311 parameter integer READ_WIDTH_B = 0;
312 parameter integer WRITE_WIDTH_A = 0;
313 parameter integer WRITE_WIDTH_B = 0;
314
315 parameter WRITE_MODE_A = "WRITE_FIRST";
316 parameter WRITE_MODE_B = "WRITE_FIRST";
317
318 parameter SIM_DEVICE = "VIRTEX6";
319 endmodule