Add clock buffer insertion pass, improve iopadmap.
[yosys.git] / techlibs / xilinx / cells_xtra.v
1 // Created by cells_xtra.sh from Xilinx models
2
3 (* keep *)
4 module BSCANE2 (...);
5 parameter DISABLE_JTAG = "FALSE";
6 parameter integer JTAG_CHAIN = 1;
7 output CAPTURE;
8 output DRCK;
9 output RESET;
10 output RUNTEST;
11 output SEL;
12 output SHIFT;
13 output TCK;
14 output TDI;
15 output TMS;
16 output UPDATE;
17 input TDO;
18 endmodule
19
20 (* clkbuf_driver = "O" *)
21 module BUFGCE (...);
22 parameter CE_TYPE = "SYNC";
23 parameter [0:0] IS_CE_INVERTED = 1'b0;
24 parameter [0:0] IS_I_INVERTED = 1'b0;
25 output O;
26 input CE;
27 input I;
28 endmodule
29
30 (* clkbuf_driver = "O" *)
31 module BUFGCE_1 (...);
32 output O;
33 input CE;
34 input I;
35 endmodule
36
37 (* clkbuf_driver = "O" *)
38 module BUFGMUX (...);
39 parameter CLK_SEL_TYPE = "SYNC";
40 output O;
41 input I0, I1, S;
42 endmodule
43
44 (* clkbuf_driver = "O" *)
45 module BUFGMUX_1 (...);
46 parameter CLK_SEL_TYPE = "SYNC";
47 output O;
48 input I0, I1, S;
49 endmodule
50
51 (* clkbuf_driver = "O" *)
52 module BUFGMUX_CTRL (...);
53 output O;
54 input I0;
55 input I1;
56 input S;
57 endmodule
58
59 (* clkbuf_driver = "O" *)
60 module BUFH (...);
61 output O;
62 input I;
63 endmodule
64
65 (* clkbuf_driver = "O" *)
66 module BUFIO (...);
67 output O;
68 input I;
69 endmodule
70
71 (* clkbuf_driver = "O" *)
72 module BUFMR (...);
73 output O;
74 input I;
75 endmodule
76
77 (* clkbuf_driver = "O" *)
78 module BUFMRCE (...);
79 parameter CE_TYPE = "SYNC";
80 parameter integer INIT_OUT = 0;
81 parameter [0:0] IS_CE_INVERTED = 1'b0;
82 output O;
83 input CE;
84 input I;
85 endmodule
86
87 (* clkbuf_driver = "O" *)
88 module BUFR (...);
89 output O;
90 input CE;
91 input CLR;
92 input I;
93 parameter BUFR_DIVIDE = "BYPASS";
94 parameter SIM_DEVICE = "7SERIES";
95 endmodule
96
97 (* keep *)
98 module CAPTUREE2 (...);
99 parameter ONESHOT = "TRUE";
100 input CAP;
101 input CLK;
102 endmodule
103
104 (* clkbuf_sink = "CLK" *)
105 module CFGLUT5 (...);
106 parameter [31:0] INIT = 32'h00000000;
107 parameter [0:0] IS_CLK_INVERTED = 1'b0;
108 output CDO;
109 output O5;
110 output O6;
111 input I4, I3, I2, I1, I0;
112 input CDI, CE, CLK;
113 endmodule
114
115 (* keep *)
116 module DCIRESET (...);
117 output LOCKED;
118 input RST;
119 endmodule
120
121 module DNA_PORT (...);
122 parameter [56:0] SIM_DNA_VALUE = 57'h0;
123 output DOUT;
124 input CLK, DIN, READ, SHIFT;
125 endmodule
126
127 (* clkbuf_sink = "CLK" *)
128 module DSP48E1 (...);
129 parameter integer ACASCREG = 1;
130 parameter integer ADREG = 1;
131 parameter integer ALUMODEREG = 1;
132 parameter integer AREG = 1;
133 parameter AUTORESET_PATDET = "NO_RESET";
134 parameter A_INPUT = "DIRECT";
135 parameter integer BCASCREG = 1;
136 parameter integer BREG = 1;
137 parameter B_INPUT = "DIRECT";
138 parameter integer CARRYINREG = 1;
139 parameter integer CARRYINSELREG = 1;
140 parameter integer CREG = 1;
141 parameter integer DREG = 1;
142 parameter integer INMODEREG = 1;
143 parameter integer MREG = 1;
144 parameter integer OPMODEREG = 1;
145 parameter integer PREG = 1;
146 parameter SEL_MASK = "MASK";
147 parameter SEL_PATTERN = "PATTERN";
148 parameter USE_DPORT = "FALSE";
149 parameter USE_MULT = "MULTIPLY";
150 parameter USE_PATTERN_DETECT = "NO_PATDET";
151 parameter USE_SIMD = "ONE48";
152 parameter [47:0] MASK = 48'h3FFFFFFFFFFF;
153 parameter [47:0] PATTERN = 48'h000000000000;
154 parameter [3:0] IS_ALUMODE_INVERTED = 4'b0;
155 parameter [0:0] IS_CARRYIN_INVERTED = 1'b0;
156 parameter [0:0] IS_CLK_INVERTED = 1'b0;
157 parameter [4:0] IS_INMODE_INVERTED = 5'b0;
158 parameter [6:0] IS_OPMODE_INVERTED = 7'b0;
159 output [29:0] ACOUT;
160 output [17:0] BCOUT;
161 output CARRYCASCOUT;
162 output [3:0] CARRYOUT;
163 output MULTSIGNOUT;
164 output OVERFLOW;
165 output [47:0] P;
166 output PATTERNBDETECT;
167 output PATTERNDETECT;
168 output [47:0] PCOUT;
169 output UNDERFLOW;
170 input [29:0] A;
171 input [29:0] ACIN;
172 input [3:0] ALUMODE;
173 input [17:0] B;
174 input [17:0] BCIN;
175 input [47:0] C;
176 input CARRYCASCIN;
177 input CARRYIN;
178 input [2:0] CARRYINSEL;
179 input CEA1;
180 input CEA2;
181 input CEAD;
182 input CEALUMODE;
183 input CEB1;
184 input CEB2;
185 input CEC;
186 input CECARRYIN;
187 input CECTRL;
188 input CED;
189 input CEINMODE;
190 input CEM;
191 input CEP;
192 input CLK;
193 input [24:0] D;
194 input [4:0] INMODE;
195 input MULTSIGNIN;
196 input [6:0] OPMODE;
197 input [47:0] PCIN;
198 input RSTA;
199 input RSTALLCARRYIN;
200 input RSTALUMODE;
201 input RSTB;
202 input RSTC;
203 input RSTCTRL;
204 input RSTD;
205 input RSTINMODE;
206 input RSTM;
207 input RSTP;
208 endmodule
209
210 module EFUSE_USR (...);
211 parameter [31:0] SIM_EFUSE_VALUE = 32'h00000000;
212 output [31:0] EFUSEUSR;
213 endmodule
214
215 (* clkbuf_sink = "RDCLK,WRCLK" *)
216 module FIFO18E1 (...);
217 parameter ALMOST_EMPTY_OFFSET = 13'h0080;
218 parameter ALMOST_FULL_OFFSET = 13'h0080;
219 parameter integer DATA_WIDTH = 4;
220 parameter integer DO_REG = 1;
221 parameter EN_SYN = "FALSE";
222 parameter FIFO_MODE = "FIFO18";
223 parameter FIRST_WORD_FALL_THROUGH = "FALSE";
224 parameter INIT = 36'h0;
225 parameter SIM_DEVICE = "VIRTEX6";
226 parameter SRVAL = 36'h0;
227 parameter IS_RDCLK_INVERTED = 1'b0;
228 parameter IS_RDEN_INVERTED = 1'b0;
229 parameter IS_RSTREG_INVERTED = 1'b0;
230 parameter IS_RST_INVERTED = 1'b0;
231 parameter IS_WRCLK_INVERTED = 1'b0;
232 parameter IS_WREN_INVERTED = 1'b0;
233 output ALMOSTEMPTY;
234 output ALMOSTFULL;
235 output [31:0] DO;
236 output [3:0] DOP;
237 output EMPTY;
238 output FULL;
239 output [11:0] RDCOUNT;
240 output RDERR;
241 output [11:0] WRCOUNT;
242 output WRERR;
243 input [31:0] DI;
244 input [3:0] DIP;
245 input RDCLK;
246 input RDEN;
247 input REGCE;
248 input RST;
249 input RSTREG;
250 input WRCLK;
251 input WREN;
252 endmodule
253
254 (* clkbuf_sink = "RDCLK,WRCLK" *)
255 module FIFO36E1 (...);
256 parameter ALMOST_EMPTY_OFFSET = 13'h0080;
257 parameter ALMOST_FULL_OFFSET = 13'h0080;
258 parameter integer DATA_WIDTH = 4;
259 parameter integer DO_REG = 1;
260 parameter EN_ECC_READ = "FALSE";
261 parameter EN_ECC_WRITE = "FALSE";
262 parameter EN_SYN = "FALSE";
263 parameter FIFO_MODE = "FIFO36";
264 parameter FIRST_WORD_FALL_THROUGH = "FALSE";
265 parameter INIT = 72'h0;
266 parameter SIM_DEVICE = "VIRTEX6";
267 parameter SRVAL = 72'h0;
268 parameter IS_RDCLK_INVERTED = 1'b0;
269 parameter IS_RDEN_INVERTED = 1'b0;
270 parameter IS_RSTREG_INVERTED = 1'b0;
271 parameter IS_RST_INVERTED = 1'b0;
272 parameter IS_WRCLK_INVERTED = 1'b0;
273 parameter IS_WREN_INVERTED = 1'b0;
274 output ALMOSTEMPTY;
275 output ALMOSTFULL;
276 output DBITERR;
277 output [63:0] DO;
278 output [7:0] DOP;
279 output [7:0] ECCPARITY;
280 output EMPTY;
281 output FULL;
282 output [12:0] RDCOUNT;
283 output RDERR;
284 output SBITERR;
285 output [12:0] WRCOUNT;
286 output WRERR;
287 input [63:0] DI;
288 input [7:0] DIP;
289 input INJECTDBITERR;
290 input INJECTSBITERR;
291 input RDCLK;
292 input RDEN;
293 input REGCE;
294 input RST;
295 input RSTREG;
296 input WRCLK;
297 input WREN;
298 endmodule
299
300 module FRAME_ECCE2 (...);
301 parameter FARSRC = "EFAR";
302 parameter FRAME_RBT_IN_FILENAME = "NONE";
303 output CRCERROR;
304 output ECCERROR;
305 output ECCERRORSINGLE;
306 output SYNDROMEVALID;
307 output [12:0] SYNDROME;
308 output [25:0] FAR;
309 output [4:0] SYNBIT;
310 output [6:0] SYNWORD;
311 endmodule
312
313 module GTHE2_CHANNEL (...);
314 parameter [0:0] ACJTAG_DEBUG_MODE = 1'b0;
315 parameter [0:0] ACJTAG_MODE = 1'b0;
316 parameter [0:0] ACJTAG_RESET = 1'b0;
317 parameter [19:0] ADAPT_CFG0 = 20'h00C10;
318 parameter ALIGN_COMMA_DOUBLE = "FALSE";
319 parameter [9:0] ALIGN_COMMA_ENABLE = 10'b0001111111;
320 parameter integer ALIGN_COMMA_WORD = 1;
321 parameter ALIGN_MCOMMA_DET = "TRUE";
322 parameter [9:0] ALIGN_MCOMMA_VALUE = 10'b1010000011;
323 parameter ALIGN_PCOMMA_DET = "TRUE";
324 parameter [9:0] ALIGN_PCOMMA_VALUE = 10'b0101111100;
325 parameter [0:0] A_RXOSCALRESET = 1'b0;
326 parameter CBCC_DATA_SOURCE_SEL = "DECODED";
327 parameter [41:0] CFOK_CFG = 42'h24800040E80;
328 parameter [5:0] CFOK_CFG2 = 6'b100000;
329 parameter [5:0] CFOK_CFG3 = 6'b100000;
330 parameter CHAN_BOND_KEEP_ALIGN = "FALSE";
331 parameter integer CHAN_BOND_MAX_SKEW = 7;
332 parameter [9:0] CHAN_BOND_SEQ_1_1 = 10'b0101111100;
333 parameter [9:0] CHAN_BOND_SEQ_1_2 = 10'b0000000000;
334 parameter [9:0] CHAN_BOND_SEQ_1_3 = 10'b0000000000;
335 parameter [9:0] CHAN_BOND_SEQ_1_4 = 10'b0000000000;
336 parameter [3:0] CHAN_BOND_SEQ_1_ENABLE = 4'b1111;
337 parameter [9:0] CHAN_BOND_SEQ_2_1 = 10'b0100000000;
338 parameter [9:0] CHAN_BOND_SEQ_2_2 = 10'b0100000000;
339 parameter [9:0] CHAN_BOND_SEQ_2_3 = 10'b0100000000;
340 parameter [9:0] CHAN_BOND_SEQ_2_4 = 10'b0100000000;
341 parameter [3:0] CHAN_BOND_SEQ_2_ENABLE = 4'b1111;
342 parameter CHAN_BOND_SEQ_2_USE = "FALSE";
343 parameter integer CHAN_BOND_SEQ_LEN = 1;
344 parameter CLK_CORRECT_USE = "TRUE";
345 parameter CLK_COR_KEEP_IDLE = "FALSE";
346 parameter integer CLK_COR_MAX_LAT = 20;
347 parameter integer CLK_COR_MIN_LAT = 18;
348 parameter CLK_COR_PRECEDENCE = "TRUE";
349 parameter integer CLK_COR_REPEAT_WAIT = 0;
350 parameter [9:0] CLK_COR_SEQ_1_1 = 10'b0100011100;
351 parameter [9:0] CLK_COR_SEQ_1_2 = 10'b0000000000;
352 parameter [9:0] CLK_COR_SEQ_1_3 = 10'b0000000000;
353 parameter [9:0] CLK_COR_SEQ_1_4 = 10'b0000000000;
354 parameter [3:0] CLK_COR_SEQ_1_ENABLE = 4'b1111;
355 parameter [9:0] CLK_COR_SEQ_2_1 = 10'b0100000000;
356 parameter [9:0] CLK_COR_SEQ_2_2 = 10'b0100000000;
357 parameter [9:0] CLK_COR_SEQ_2_3 = 10'b0100000000;
358 parameter [9:0] CLK_COR_SEQ_2_4 = 10'b0100000000;
359 parameter [3:0] CLK_COR_SEQ_2_ENABLE = 4'b1111;
360 parameter CLK_COR_SEQ_2_USE = "FALSE";
361 parameter integer CLK_COR_SEQ_LEN = 1;
362 parameter [28:0] CPLL_CFG = 29'h00BC07DC;
363 parameter integer CPLL_FBDIV = 4;
364 parameter integer CPLL_FBDIV_45 = 5;
365 parameter [23:0] CPLL_INIT_CFG = 24'h00001E;
366 parameter [15:0] CPLL_LOCK_CFG = 16'h01E8;
367 parameter integer CPLL_REFCLK_DIV = 1;
368 parameter DEC_MCOMMA_DETECT = "TRUE";
369 parameter DEC_PCOMMA_DETECT = "TRUE";
370 parameter DEC_VALID_COMMA_ONLY = "TRUE";
371 parameter [23:0] DMONITOR_CFG = 24'h000A00;
372 parameter [0:0] ES_CLK_PHASE_SEL = 1'b0;
373 parameter [5:0] ES_CONTROL = 6'b000000;
374 parameter ES_ERRDET_EN = "FALSE";
375 parameter ES_EYE_SCAN_EN = "TRUE";
376 parameter [11:0] ES_HORZ_OFFSET = 12'h000;
377 parameter [9:0] ES_PMA_CFG = 10'b0000000000;
378 parameter [4:0] ES_PRESCALE = 5'b00000;
379 parameter [79:0] ES_QUALIFIER = 80'h00000000000000000000;
380 parameter [79:0] ES_QUAL_MASK = 80'h00000000000000000000;
381 parameter [79:0] ES_SDATA_MASK = 80'h00000000000000000000;
382 parameter [8:0] ES_VERT_OFFSET = 9'b000000000;
383 parameter [3:0] FTS_DESKEW_SEQ_ENABLE = 4'b1111;
384 parameter [3:0] FTS_LANE_DESKEW_CFG = 4'b1111;
385 parameter FTS_LANE_DESKEW_EN = "FALSE";
386 parameter [2:0] GEARBOX_MODE = 3'b000;
387 parameter [0:0] IS_CLKRSVD0_INVERTED = 1'b0;
388 parameter [0:0] IS_CLKRSVD1_INVERTED = 1'b0;
389 parameter [0:0] IS_CPLLLOCKDETCLK_INVERTED = 1'b0;
390 parameter [0:0] IS_DMONITORCLK_INVERTED = 1'b0;
391 parameter [0:0] IS_DRPCLK_INVERTED = 1'b0;
392 parameter [0:0] IS_GTGREFCLK_INVERTED = 1'b0;
393 parameter [0:0] IS_RXUSRCLK2_INVERTED = 1'b0;
394 parameter [0:0] IS_RXUSRCLK_INVERTED = 1'b0;
395 parameter [0:0] IS_SIGVALIDCLK_INVERTED = 1'b0;
396 parameter [0:0] IS_TXPHDLYTSTCLK_INVERTED = 1'b0;
397 parameter [0:0] IS_TXUSRCLK2_INVERTED = 1'b0;
398 parameter [0:0] IS_TXUSRCLK_INVERTED = 1'b0;
399 parameter [0:0] LOOPBACK_CFG = 1'b0;
400 parameter [1:0] OUTREFCLK_SEL_INV = 2'b11;
401 parameter PCS_PCIE_EN = "FALSE";
402 parameter [47:0] PCS_RSVD_ATTR = 48'h000000000000;
403 parameter [11:0] PD_TRANS_TIME_FROM_P2 = 12'h03C;
404 parameter [7:0] PD_TRANS_TIME_NONE_P2 = 8'h19;
405 parameter [7:0] PD_TRANS_TIME_TO_P2 = 8'h64;
406 parameter [31:0] PMA_RSV = 32'b00000000000000000000000010000000;
407 parameter [31:0] PMA_RSV2 = 32'b00011100000000000000000000001010;
408 parameter [1:0] PMA_RSV3 = 2'b00;
409 parameter [14:0] PMA_RSV4 = 15'b000000000001000;
410 parameter [3:0] PMA_RSV5 = 4'b0000;
411 parameter [0:0] RESET_POWERSAVE_DISABLE = 1'b0;
412 parameter [4:0] RXBUFRESET_TIME = 5'b00001;
413 parameter RXBUF_ADDR_MODE = "FULL";
414 parameter [3:0] RXBUF_EIDLE_HI_CNT = 4'b1000;
415 parameter [3:0] RXBUF_EIDLE_LO_CNT = 4'b0000;
416 parameter RXBUF_EN = "TRUE";
417 parameter RXBUF_RESET_ON_CB_CHANGE = "TRUE";
418 parameter RXBUF_RESET_ON_COMMAALIGN = "FALSE";
419 parameter RXBUF_RESET_ON_EIDLE = "FALSE";
420 parameter RXBUF_RESET_ON_RATE_CHANGE = "TRUE";
421 parameter integer RXBUF_THRESH_OVFLW = 61;
422 parameter RXBUF_THRESH_OVRD = "FALSE";
423 parameter integer RXBUF_THRESH_UNDFLW = 4;
424 parameter [4:0] RXCDRFREQRESET_TIME = 5'b00001;
425 parameter [4:0] RXCDRPHRESET_TIME = 5'b00001;
426 parameter [82:0] RXCDR_CFG = 83'h0002007FE2000C208001A;
427 parameter [0:0] RXCDR_FR_RESET_ON_EIDLE = 1'b0;
428 parameter [0:0] RXCDR_HOLD_DURING_EIDLE = 1'b0;
429 parameter [5:0] RXCDR_LOCK_CFG = 6'b001001;
430 parameter [0:0] RXCDR_PH_RESET_ON_EIDLE = 1'b0;
431 parameter [6:0] RXDFELPMRESET_TIME = 7'b0001111;
432 parameter [15:0] RXDLY_CFG = 16'h001F;
433 parameter [8:0] RXDLY_LCFG = 9'h030;
434 parameter [15:0] RXDLY_TAP_CFG = 16'h0000;
435 parameter RXGEARBOX_EN = "FALSE";
436 parameter [4:0] RXISCANRESET_TIME = 5'b00001;
437 parameter [13:0] RXLPM_HF_CFG = 14'b00001000000000;
438 parameter [17:0] RXLPM_LF_CFG = 18'b001001000000000000;
439 parameter [6:0] RXOOB_CFG = 7'b0000110;
440 parameter RXOOB_CLK_CFG = "PMA";
441 parameter [4:0] RXOSCALRESET_TIME = 5'b00011;
442 parameter [4:0] RXOSCALRESET_TIMEOUT = 5'b00000;
443 parameter integer RXOUT_DIV = 2;
444 parameter [4:0] RXPCSRESET_TIME = 5'b00001;
445 parameter [23:0] RXPHDLY_CFG = 24'h084020;
446 parameter [23:0] RXPH_CFG = 24'hC00002;
447 parameter [4:0] RXPH_MONITOR_SEL = 5'b00000;
448 parameter [1:0] RXPI_CFG0 = 2'b00;
449 parameter [1:0] RXPI_CFG1 = 2'b00;
450 parameter [1:0] RXPI_CFG2 = 2'b00;
451 parameter [1:0] RXPI_CFG3 = 2'b00;
452 parameter [0:0] RXPI_CFG4 = 1'b0;
453 parameter [0:0] RXPI_CFG5 = 1'b0;
454 parameter [2:0] RXPI_CFG6 = 3'b100;
455 parameter [4:0] RXPMARESET_TIME = 5'b00011;
456 parameter [0:0] RXPRBS_ERR_LOOPBACK = 1'b0;
457 parameter integer RXSLIDE_AUTO_WAIT = 7;
458 parameter RXSLIDE_MODE = "OFF";
459 parameter [0:0] RXSYNC_MULTILANE = 1'b0;
460 parameter [0:0] RXSYNC_OVRD = 1'b0;
461 parameter [0:0] RXSYNC_SKIP_DA = 1'b0;
462 parameter [23:0] RX_BIAS_CFG = 24'b000011000000000000010000;
463 parameter [5:0] RX_BUFFER_CFG = 6'b000000;
464 parameter integer RX_CLK25_DIV = 7;
465 parameter [0:0] RX_CLKMUX_PD = 1'b1;
466 parameter [1:0] RX_CM_SEL = 2'b11;
467 parameter [3:0] RX_CM_TRIM = 4'b0100;
468 parameter integer RX_DATA_WIDTH = 20;
469 parameter [5:0] RX_DDI_SEL = 6'b000000;
470 parameter [13:0] RX_DEBUG_CFG = 14'b00000000000000;
471 parameter RX_DEFER_RESET_BUF_EN = "TRUE";
472 parameter [3:0] RX_DFELPM_CFG0 = 4'b0110;
473 parameter [0:0] RX_DFELPM_CFG1 = 1'b0;
474 parameter [0:0] RX_DFELPM_KLKH_AGC_STUP_EN = 1'b1;
475 parameter [1:0] RX_DFE_AGC_CFG0 = 2'b00;
476 parameter [2:0] RX_DFE_AGC_CFG1 = 3'b010;
477 parameter [3:0] RX_DFE_AGC_CFG2 = 4'b0000;
478 parameter [0:0] RX_DFE_AGC_OVRDEN = 1'b1;
479 parameter [22:0] RX_DFE_GAIN_CFG = 23'h0020C0;
480 parameter [11:0] RX_DFE_H2_CFG = 12'b000000000000;
481 parameter [11:0] RX_DFE_H3_CFG = 12'b000001000000;
482 parameter [10:0] RX_DFE_H4_CFG = 11'b00011100000;
483 parameter [10:0] RX_DFE_H5_CFG = 11'b00011100000;
484 parameter [10:0] RX_DFE_H6_CFG = 11'b00000100000;
485 parameter [10:0] RX_DFE_H7_CFG = 11'b00000100000;
486 parameter [32:0] RX_DFE_KL_CFG = 33'b000000000000000000000001100010000;
487 parameter [1:0] RX_DFE_KL_LPM_KH_CFG0 = 2'b01;
488 parameter [2:0] RX_DFE_KL_LPM_KH_CFG1 = 3'b010;
489 parameter [3:0] RX_DFE_KL_LPM_KH_CFG2 = 4'b0010;
490 parameter [0:0] RX_DFE_KL_LPM_KH_OVRDEN = 1'b1;
491 parameter [1:0] RX_DFE_KL_LPM_KL_CFG0 = 2'b10;
492 parameter [2:0] RX_DFE_KL_LPM_KL_CFG1 = 3'b010;
493 parameter [3:0] RX_DFE_KL_LPM_KL_CFG2 = 4'b0010;
494 parameter [0:0] RX_DFE_KL_LPM_KL_OVRDEN = 1'b1;
495 parameter [15:0] RX_DFE_LPM_CFG = 16'h0080;
496 parameter [0:0] RX_DFE_LPM_HOLD_DURING_EIDLE = 1'b0;
497 parameter [53:0] RX_DFE_ST_CFG = 54'h00E100000C003F;
498 parameter [16:0] RX_DFE_UT_CFG = 17'b00011100000000000;
499 parameter [16:0] RX_DFE_VP_CFG = 17'b00011101010100011;
500 parameter RX_DISPERR_SEQ_MATCH = "TRUE";
501 parameter integer RX_INT_DATAWIDTH = 0;
502 parameter [12:0] RX_OS_CFG = 13'b0000010000000;
503 parameter integer RX_SIG_VALID_DLY = 10;
504 parameter RX_XCLK_SEL = "RXREC";
505 parameter integer SAS_MAX_COM = 64;
506 parameter integer SAS_MIN_COM = 36;
507 parameter [3:0] SATA_BURST_SEQ_LEN = 4'b1111;
508 parameter [2:0] SATA_BURST_VAL = 3'b100;
509 parameter SATA_CPLL_CFG = "VCO_3000MHZ";
510 parameter [2:0] SATA_EIDLE_VAL = 3'b100;
511 parameter integer SATA_MAX_BURST = 8;
512 parameter integer SATA_MAX_INIT = 21;
513 parameter integer SATA_MAX_WAKE = 7;
514 parameter integer SATA_MIN_BURST = 4;
515 parameter integer SATA_MIN_INIT = 12;
516 parameter integer SATA_MIN_WAKE = 4;
517 parameter SHOW_REALIGN_COMMA = "TRUE";
518 parameter [2:0] SIM_CPLLREFCLK_SEL = 3'b001;
519 parameter SIM_RECEIVER_DETECT_PASS = "TRUE";
520 parameter SIM_RESET_SPEEDUP = "TRUE";
521 parameter SIM_TX_EIDLE_DRIVE_LEVEL = "X";
522 parameter SIM_VERSION = "1.1";
523 parameter [14:0] TERM_RCAL_CFG = 15'b100001000010000;
524 parameter [2:0] TERM_RCAL_OVRD = 3'b000;
525 parameter [7:0] TRANS_TIME_RATE = 8'h0E;
526 parameter [31:0] TST_RSV = 32'h00000000;
527 parameter TXBUF_EN = "TRUE";
528 parameter TXBUF_RESET_ON_RATE_CHANGE = "FALSE";
529 parameter [15:0] TXDLY_CFG = 16'h001F;
530 parameter [8:0] TXDLY_LCFG = 9'h030;
531 parameter [15:0] TXDLY_TAP_CFG = 16'h0000;
532 parameter TXGEARBOX_EN = "FALSE";
533 parameter [0:0] TXOOB_CFG = 1'b0;
534 parameter integer TXOUT_DIV = 2;
535 parameter [4:0] TXPCSRESET_TIME = 5'b00001;
536 parameter [23:0] TXPHDLY_CFG = 24'h084020;
537 parameter [15:0] TXPH_CFG = 16'h0780;
538 parameter [4:0] TXPH_MONITOR_SEL = 5'b00000;
539 parameter [1:0] TXPI_CFG0 = 2'b00;
540 parameter [1:0] TXPI_CFG1 = 2'b00;
541 parameter [1:0] TXPI_CFG2 = 2'b00;
542 parameter [0:0] TXPI_CFG3 = 1'b0;
543 parameter [0:0] TXPI_CFG4 = 1'b0;
544 parameter [2:0] TXPI_CFG5 = 3'b100;
545 parameter [0:0] TXPI_GREY_SEL = 1'b0;
546 parameter [0:0] TXPI_INVSTROBE_SEL = 1'b0;
547 parameter TXPI_PPMCLK_SEL = "TXUSRCLK2";
548 parameter [7:0] TXPI_PPM_CFG = 8'b00000000;
549 parameter [2:0] TXPI_SYNFREQ_PPM = 3'b000;
550 parameter [4:0] TXPMARESET_TIME = 5'b00001;
551 parameter [0:0] TXSYNC_MULTILANE = 1'b0;
552 parameter [0:0] TXSYNC_OVRD = 1'b0;
553 parameter [0:0] TXSYNC_SKIP_DA = 1'b0;
554 parameter integer TX_CLK25_DIV = 7;
555 parameter [0:0] TX_CLKMUX_PD = 1'b1;
556 parameter integer TX_DATA_WIDTH = 20;
557 parameter [5:0] TX_DEEMPH0 = 6'b000000;
558 parameter [5:0] TX_DEEMPH1 = 6'b000000;
559 parameter TX_DRIVE_MODE = "DIRECT";
560 parameter [2:0] TX_EIDLE_ASSERT_DELAY = 3'b110;
561 parameter [2:0] TX_EIDLE_DEASSERT_DELAY = 3'b100;
562 parameter integer TX_INT_DATAWIDTH = 0;
563 parameter TX_LOOPBACK_DRIVE_HIZ = "FALSE";
564 parameter [0:0] TX_MAINCURSOR_SEL = 1'b0;
565 parameter [6:0] TX_MARGIN_FULL_0 = 7'b1001110;
566 parameter [6:0] TX_MARGIN_FULL_1 = 7'b1001001;
567 parameter [6:0] TX_MARGIN_FULL_2 = 7'b1000101;
568 parameter [6:0] TX_MARGIN_FULL_3 = 7'b1000010;
569 parameter [6:0] TX_MARGIN_FULL_4 = 7'b1000000;
570 parameter [6:0] TX_MARGIN_LOW_0 = 7'b1000110;
571 parameter [6:0] TX_MARGIN_LOW_1 = 7'b1000100;
572 parameter [6:0] TX_MARGIN_LOW_2 = 7'b1000010;
573 parameter [6:0] TX_MARGIN_LOW_3 = 7'b1000000;
574 parameter [6:0] TX_MARGIN_LOW_4 = 7'b1000000;
575 parameter [0:0] TX_QPI_STATUS_EN = 1'b0;
576 parameter [13:0] TX_RXDETECT_CFG = 14'h1832;
577 parameter [16:0] TX_RXDETECT_PRECHARGE_TIME = 17'h00000;
578 parameter [2:0] TX_RXDETECT_REF = 3'b100;
579 parameter TX_XCLK_SEL = "TXUSR";
580 parameter [0:0] UCODEER_CLR = 1'b0;
581 parameter [0:0] USE_PCS_CLK_PHASE_SEL = 1'b0;
582 output CPLLFBCLKLOST;
583 output CPLLLOCK;
584 output CPLLREFCLKLOST;
585 output DRPRDY;
586 output EYESCANDATAERROR;
587 output GTHTXN;
588 output GTHTXP;
589 output GTREFCLKMONITOR;
590 output PHYSTATUS;
591 output RSOSINTDONE;
592 output RXBYTEISALIGNED;
593 output RXBYTEREALIGN;
594 output RXCDRLOCK;
595 output RXCHANBONDSEQ;
596 output RXCHANISALIGNED;
597 output RXCHANREALIGN;
598 output RXCOMINITDET;
599 output RXCOMMADET;
600 output RXCOMSASDET;
601 output RXCOMWAKEDET;
602 output RXDFESLIDETAPSTARTED;
603 output RXDFESLIDETAPSTROBEDONE;
604 output RXDFESLIDETAPSTROBESTARTED;
605 output RXDFESTADAPTDONE;
606 output RXDLYSRESETDONE;
607 output RXELECIDLE;
608 output RXOSINTSTARTED;
609 output RXOSINTSTROBEDONE;
610 output RXOSINTSTROBESTARTED;
611 output RXOUTCLK;
612 output RXOUTCLKFABRIC;
613 output RXOUTCLKPCS;
614 output RXPHALIGNDONE;
615 output RXPMARESETDONE;
616 output RXPRBSERR;
617 output RXQPISENN;
618 output RXQPISENP;
619 output RXRATEDONE;
620 output RXRESETDONE;
621 output RXSYNCDONE;
622 output RXSYNCOUT;
623 output RXVALID;
624 output TXCOMFINISH;
625 output TXDLYSRESETDONE;
626 output TXGEARBOXREADY;
627 output TXOUTCLK;
628 output TXOUTCLKFABRIC;
629 output TXOUTCLKPCS;
630 output TXPHALIGNDONE;
631 output TXPHINITDONE;
632 output TXPMARESETDONE;
633 output TXQPISENN;
634 output TXQPISENP;
635 output TXRATEDONE;
636 output TXRESETDONE;
637 output TXSYNCDONE;
638 output TXSYNCOUT;
639 output [14:0] DMONITOROUT;
640 output [15:0] DRPDO;
641 output [15:0] PCSRSVDOUT;
642 output [1:0] RXCLKCORCNT;
643 output [1:0] RXDATAVALID;
644 output [1:0] RXHEADERVALID;
645 output [1:0] RXSTARTOFSEQ;
646 output [1:0] TXBUFSTATUS;
647 output [2:0] RXBUFSTATUS;
648 output [2:0] RXSTATUS;
649 output [4:0] RXCHBONDO;
650 output [4:0] RXPHMONITOR;
651 output [4:0] RXPHSLIPMONITOR;
652 output [5:0] RXHEADER;
653 output [63:0] RXDATA;
654 output [6:0] RXMONITOROUT;
655 output [7:0] RXCHARISCOMMA;
656 output [7:0] RXCHARISK;
657 output [7:0] RXDISPERR;
658 output [7:0] RXNOTINTABLE;
659 input CFGRESET;
660 input CLKRSVD0;
661 input CLKRSVD1;
662 input CPLLLOCKDETCLK;
663 input CPLLLOCKEN;
664 input CPLLPD;
665 input CPLLRESET;
666 input DMONFIFORESET;
667 input DMONITORCLK;
668 input DRPCLK;
669 input DRPEN;
670 input DRPWE;
671 input EYESCANMODE;
672 input EYESCANRESET;
673 input EYESCANTRIGGER;
674 input GTGREFCLK;
675 input GTHRXN;
676 input GTHRXP;
677 input GTNORTHREFCLK0;
678 input GTNORTHREFCLK1;
679 input GTREFCLK0;
680 input GTREFCLK1;
681 input GTRESETSEL;
682 input GTRXRESET;
683 input GTSOUTHREFCLK0;
684 input GTSOUTHREFCLK1;
685 input GTTXRESET;
686 input QPLLCLK;
687 input QPLLREFCLK;
688 input RESETOVRD;
689 input RX8B10BEN;
690 input RXBUFRESET;
691 input RXCDRFREQRESET;
692 input RXCDRHOLD;
693 input RXCDROVRDEN;
694 input RXCDRRESET;
695 input RXCDRRESETRSV;
696 input RXCHBONDEN;
697 input RXCHBONDMASTER;
698 input RXCHBONDSLAVE;
699 input RXCOMMADETEN;
700 input RXDDIEN;
701 input RXDFEAGCHOLD;
702 input RXDFEAGCOVRDEN;
703 input RXDFECM1EN;
704 input RXDFELFHOLD;
705 input RXDFELFOVRDEN;
706 input RXDFELPMRESET;
707 input RXDFESLIDETAPADAPTEN;
708 input RXDFESLIDETAPHOLD;
709 input RXDFESLIDETAPINITOVRDEN;
710 input RXDFESLIDETAPONLYADAPTEN;
711 input RXDFESLIDETAPOVRDEN;
712 input RXDFESLIDETAPSTROBE;
713 input RXDFETAP2HOLD;
714 input RXDFETAP2OVRDEN;
715 input RXDFETAP3HOLD;
716 input RXDFETAP3OVRDEN;
717 input RXDFETAP4HOLD;
718 input RXDFETAP4OVRDEN;
719 input RXDFETAP5HOLD;
720 input RXDFETAP5OVRDEN;
721 input RXDFETAP6HOLD;
722 input RXDFETAP6OVRDEN;
723 input RXDFETAP7HOLD;
724 input RXDFETAP7OVRDEN;
725 input RXDFEUTHOLD;
726 input RXDFEUTOVRDEN;
727 input RXDFEVPHOLD;
728 input RXDFEVPOVRDEN;
729 input RXDFEVSEN;
730 input RXDFEXYDEN;
731 input RXDLYBYPASS;
732 input RXDLYEN;
733 input RXDLYOVRDEN;
734 input RXDLYSRESET;
735 input RXGEARBOXSLIP;
736 input RXLPMEN;
737 input RXLPMHFHOLD;
738 input RXLPMHFOVRDEN;
739 input RXLPMLFHOLD;
740 input RXLPMLFKLOVRDEN;
741 input RXMCOMMAALIGNEN;
742 input RXOOBRESET;
743 input RXOSCALRESET;
744 input RXOSHOLD;
745 input RXOSINTEN;
746 input RXOSINTHOLD;
747 input RXOSINTNTRLEN;
748 input RXOSINTOVRDEN;
749 input RXOSINTSTROBE;
750 input RXOSINTTESTOVRDEN;
751 input RXOSOVRDEN;
752 input RXPCOMMAALIGNEN;
753 input RXPCSRESET;
754 input RXPHALIGN;
755 input RXPHALIGNEN;
756 input RXPHDLYPD;
757 input RXPHDLYRESET;
758 input RXPHOVRDEN;
759 input RXPMARESET;
760 input RXPOLARITY;
761 input RXPRBSCNTRESET;
762 input RXQPIEN;
763 input RXRATEMODE;
764 input RXSLIDE;
765 input RXSYNCALLIN;
766 input RXSYNCIN;
767 input RXSYNCMODE;
768 input RXUSERRDY;
769 input RXUSRCLK2;
770 input RXUSRCLK;
771 input SETERRSTATUS;
772 input SIGVALIDCLK;
773 input TX8B10BEN;
774 input TXCOMINIT;
775 input TXCOMSAS;
776 input TXCOMWAKE;
777 input TXDEEMPH;
778 input TXDETECTRX;
779 input TXDIFFPD;
780 input TXDLYBYPASS;
781 input TXDLYEN;
782 input TXDLYHOLD;
783 input TXDLYOVRDEN;
784 input TXDLYSRESET;
785 input TXDLYUPDOWN;
786 input TXELECIDLE;
787 input TXINHIBIT;
788 input TXPCSRESET;
789 input TXPDELECIDLEMODE;
790 input TXPHALIGN;
791 input TXPHALIGNEN;
792 input TXPHDLYPD;
793 input TXPHDLYRESET;
794 input TXPHDLYTSTCLK;
795 input TXPHINIT;
796 input TXPHOVRDEN;
797 input TXPIPPMEN;
798 input TXPIPPMOVRDEN;
799 input TXPIPPMPD;
800 input TXPIPPMSEL;
801 input TXPISOPD;
802 input TXPMARESET;
803 input TXPOLARITY;
804 input TXPOSTCURSORINV;
805 input TXPRBSFORCEERR;
806 input TXPRECURSORINV;
807 input TXQPIBIASEN;
808 input TXQPISTRONGPDOWN;
809 input TXQPIWEAKPUP;
810 input TXRATEMODE;
811 input TXSTARTSEQ;
812 input TXSWING;
813 input TXSYNCALLIN;
814 input TXSYNCIN;
815 input TXSYNCMODE;
816 input TXUSERRDY;
817 input TXUSRCLK2;
818 input TXUSRCLK;
819 input [13:0] RXADAPTSELTEST;
820 input [15:0] DRPDI;
821 input [15:0] GTRSVD;
822 input [15:0] PCSRSVDIN;
823 input [19:0] TSTIN;
824 input [1:0] RXELECIDLEMODE;
825 input [1:0] RXMONITORSEL;
826 input [1:0] RXPD;
827 input [1:0] RXSYSCLKSEL;
828 input [1:0] TXPD;
829 input [1:0] TXSYSCLKSEL;
830 input [2:0] CPLLREFCLKSEL;
831 input [2:0] LOOPBACK;
832 input [2:0] RXCHBONDLEVEL;
833 input [2:0] RXOUTCLKSEL;
834 input [2:0] RXPRBSSEL;
835 input [2:0] RXRATE;
836 input [2:0] TXBUFDIFFCTRL;
837 input [2:0] TXHEADER;
838 input [2:0] TXMARGIN;
839 input [2:0] TXOUTCLKSEL;
840 input [2:0] TXPRBSSEL;
841 input [2:0] TXRATE;
842 input [3:0] RXOSINTCFG;
843 input [3:0] RXOSINTID0;
844 input [3:0] TXDIFFCTRL;
845 input [4:0] PCSRSVDIN2;
846 input [4:0] PMARSVDIN;
847 input [4:0] RXCHBONDI;
848 input [4:0] RXDFEAGCTRL;
849 input [4:0] RXDFESLIDETAP;
850 input [4:0] TXPIPPMSTEPSIZE;
851 input [4:0] TXPOSTCURSOR;
852 input [4:0] TXPRECURSOR;
853 input [5:0] RXDFESLIDETAPID;
854 input [63:0] TXDATA;
855 input [6:0] TXMAINCURSOR;
856 input [6:0] TXSEQUENCE;
857 input [7:0] TX8B10BBYPASS;
858 input [7:0] TXCHARDISPMODE;
859 input [7:0] TXCHARDISPVAL;
860 input [7:0] TXCHARISK;
861 input [8:0] DRPADDR;
862 endmodule
863
864 module GTHE2_COMMON (...);
865 parameter [63:0] BIAS_CFG = 64'h0000040000001000;
866 parameter [31:0] COMMON_CFG = 32'h0000001C;
867 parameter [0:0] IS_DRPCLK_INVERTED = 1'b0;
868 parameter [0:0] IS_GTGREFCLK_INVERTED = 1'b0;
869 parameter [0:0] IS_QPLLLOCKDETCLK_INVERTED = 1'b0;
870 parameter [26:0] QPLL_CFG = 27'h0480181;
871 parameter [3:0] QPLL_CLKOUT_CFG = 4'b0000;
872 parameter [5:0] QPLL_COARSE_FREQ_OVRD = 6'b010000;
873 parameter [0:0] QPLL_COARSE_FREQ_OVRD_EN = 1'b0;
874 parameter [9:0] QPLL_CP = 10'b0000011111;
875 parameter [0:0] QPLL_CP_MONITOR_EN = 1'b0;
876 parameter [0:0] QPLL_DMONITOR_SEL = 1'b0;
877 parameter [9:0] QPLL_FBDIV = 10'b0000000000;
878 parameter [0:0] QPLL_FBDIV_MONITOR_EN = 1'b0;
879 parameter [0:0] QPLL_FBDIV_RATIO = 1'b0;
880 parameter [23:0] QPLL_INIT_CFG = 24'h000006;
881 parameter [15:0] QPLL_LOCK_CFG = 16'h01E8;
882 parameter [3:0] QPLL_LPF = 4'b1111;
883 parameter integer QPLL_REFCLK_DIV = 2;
884 parameter [0:0] QPLL_RP_COMP = 1'b0;
885 parameter [1:0] QPLL_VTRL_RESET = 2'b00;
886 parameter [1:0] RCAL_CFG = 2'b00;
887 parameter [15:0] RSVD_ATTR0 = 16'h0000;
888 parameter [15:0] RSVD_ATTR1 = 16'h0000;
889 parameter [2:0] SIM_QPLLREFCLK_SEL = 3'b001;
890 parameter SIM_RESET_SPEEDUP = "TRUE";
891 parameter SIM_VERSION = "1.1";
892 output DRPRDY;
893 output QPLLFBCLKLOST;
894 output QPLLLOCK;
895 output QPLLOUTCLK;
896 output QPLLOUTREFCLK;
897 output QPLLREFCLKLOST;
898 output REFCLKOUTMONITOR;
899 output [15:0] DRPDO;
900 output [15:0] PMARSVDOUT;
901 output [7:0] QPLLDMONITOR;
902 input BGBYPASSB;
903 input BGMONITORENB;
904 input BGPDB;
905 input BGRCALOVRDENB;
906 input DRPCLK;
907 input DRPEN;
908 input DRPWE;
909 input GTGREFCLK;
910 input GTNORTHREFCLK0;
911 input GTNORTHREFCLK1;
912 input GTREFCLK0;
913 input GTREFCLK1;
914 input GTSOUTHREFCLK0;
915 input GTSOUTHREFCLK1;
916 input QPLLLOCKDETCLK;
917 input QPLLLOCKEN;
918 input QPLLOUTRESET;
919 input QPLLPD;
920 input QPLLRESET;
921 input RCALENB;
922 input [15:0] DRPDI;
923 input [15:0] QPLLRSVD1;
924 input [2:0] QPLLREFCLKSEL;
925 input [4:0] BGRCALOVRD;
926 input [4:0] QPLLRSVD2;
927 input [7:0] DRPADDR;
928 input [7:0] PMARSVD;
929 endmodule
930
931 module GTPE2_CHANNEL (...);
932 parameter [0:0] ACJTAG_DEBUG_MODE = 1'b0;
933 parameter [0:0] ACJTAG_MODE = 1'b0;
934 parameter [0:0] ACJTAG_RESET = 1'b0;
935 parameter [19:0] ADAPT_CFG0 = 20'b00000000000000000000;
936 parameter ALIGN_COMMA_DOUBLE = "FALSE";
937 parameter [9:0] ALIGN_COMMA_ENABLE = 10'b0001111111;
938 parameter integer ALIGN_COMMA_WORD = 1;
939 parameter ALIGN_MCOMMA_DET = "TRUE";
940 parameter [9:0] ALIGN_MCOMMA_VALUE = 10'b1010000011;
941 parameter ALIGN_PCOMMA_DET = "TRUE";
942 parameter [9:0] ALIGN_PCOMMA_VALUE = 10'b0101111100;
943 parameter CBCC_DATA_SOURCE_SEL = "DECODED";
944 parameter [42:0] CFOK_CFG = 43'b1001001000000000000000001000000111010000000;
945 parameter [6:0] CFOK_CFG2 = 7'b0100000;
946 parameter [6:0] CFOK_CFG3 = 7'b0100000;
947 parameter [0:0] CFOK_CFG4 = 1'b0;
948 parameter [1:0] CFOK_CFG5 = 2'b00;
949 parameter [3:0] CFOK_CFG6 = 4'b0000;
950 parameter CHAN_BOND_KEEP_ALIGN = "FALSE";
951 parameter integer CHAN_BOND_MAX_SKEW = 7;
952 parameter [9:0] CHAN_BOND_SEQ_1_1 = 10'b0101111100;
953 parameter [9:0] CHAN_BOND_SEQ_1_2 = 10'b0000000000;
954 parameter [9:0] CHAN_BOND_SEQ_1_3 = 10'b0000000000;
955 parameter [9:0] CHAN_BOND_SEQ_1_4 = 10'b0000000000;
956 parameter [3:0] CHAN_BOND_SEQ_1_ENABLE = 4'b1111;
957 parameter [9:0] CHAN_BOND_SEQ_2_1 = 10'b0100000000;
958 parameter [9:0] CHAN_BOND_SEQ_2_2 = 10'b0100000000;
959 parameter [9:0] CHAN_BOND_SEQ_2_3 = 10'b0100000000;
960 parameter [9:0] CHAN_BOND_SEQ_2_4 = 10'b0100000000;
961 parameter [3:0] CHAN_BOND_SEQ_2_ENABLE = 4'b1111;
962 parameter CHAN_BOND_SEQ_2_USE = "FALSE";
963 parameter integer CHAN_BOND_SEQ_LEN = 1;
964 parameter [0:0] CLK_COMMON_SWING = 1'b0;
965 parameter CLK_CORRECT_USE = "TRUE";
966 parameter CLK_COR_KEEP_IDLE = "FALSE";
967 parameter integer CLK_COR_MAX_LAT = 20;
968 parameter integer CLK_COR_MIN_LAT = 18;
969 parameter CLK_COR_PRECEDENCE = "TRUE";
970 parameter integer CLK_COR_REPEAT_WAIT = 0;
971 parameter [9:0] CLK_COR_SEQ_1_1 = 10'b0100011100;
972 parameter [9:0] CLK_COR_SEQ_1_2 = 10'b0000000000;
973 parameter [9:0] CLK_COR_SEQ_1_3 = 10'b0000000000;
974 parameter [9:0] CLK_COR_SEQ_1_4 = 10'b0000000000;
975 parameter [3:0] CLK_COR_SEQ_1_ENABLE = 4'b1111;
976 parameter [9:0] CLK_COR_SEQ_2_1 = 10'b0100000000;
977 parameter [9:0] CLK_COR_SEQ_2_2 = 10'b0100000000;
978 parameter [9:0] CLK_COR_SEQ_2_3 = 10'b0100000000;
979 parameter [9:0] CLK_COR_SEQ_2_4 = 10'b0100000000;
980 parameter [3:0] CLK_COR_SEQ_2_ENABLE = 4'b1111;
981 parameter CLK_COR_SEQ_2_USE = "FALSE";
982 parameter integer CLK_COR_SEQ_LEN = 1;
983 parameter DEC_MCOMMA_DETECT = "TRUE";
984 parameter DEC_PCOMMA_DETECT = "TRUE";
985 parameter DEC_VALID_COMMA_ONLY = "TRUE";
986 parameter [23:0] DMONITOR_CFG = 24'h000A00;
987 parameter [0:0] ES_CLK_PHASE_SEL = 1'b0;
988 parameter [5:0] ES_CONTROL = 6'b000000;
989 parameter ES_ERRDET_EN = "FALSE";
990 parameter ES_EYE_SCAN_EN = "FALSE";
991 parameter [11:0] ES_HORZ_OFFSET = 12'h010;
992 parameter [9:0] ES_PMA_CFG = 10'b0000000000;
993 parameter [4:0] ES_PRESCALE = 5'b00000;
994 parameter [79:0] ES_QUALIFIER = 80'h00000000000000000000;
995 parameter [79:0] ES_QUAL_MASK = 80'h00000000000000000000;
996 parameter [79:0] ES_SDATA_MASK = 80'h00000000000000000000;
997 parameter [8:0] ES_VERT_OFFSET = 9'b000000000;
998 parameter [3:0] FTS_DESKEW_SEQ_ENABLE = 4'b1111;
999 parameter [3:0] FTS_LANE_DESKEW_CFG = 4'b1111;
1000 parameter FTS_LANE_DESKEW_EN = "FALSE";
1001 parameter [2:0] GEARBOX_MODE = 3'b000;
1002 parameter [0:0] IS_CLKRSVD0_INVERTED = 1'b0;
1003 parameter [0:0] IS_CLKRSVD1_INVERTED = 1'b0;
1004 parameter [0:0] IS_DMONITORCLK_INVERTED = 1'b0;
1005 parameter [0:0] IS_DRPCLK_INVERTED = 1'b0;
1006 parameter [0:0] IS_RXUSRCLK2_INVERTED = 1'b0;
1007 parameter [0:0] IS_RXUSRCLK_INVERTED = 1'b0;
1008 parameter [0:0] IS_SIGVALIDCLK_INVERTED = 1'b0;
1009 parameter [0:0] IS_TXPHDLYTSTCLK_INVERTED = 1'b0;
1010 parameter [0:0] IS_TXUSRCLK2_INVERTED = 1'b0;
1011 parameter [0:0] IS_TXUSRCLK_INVERTED = 1'b0;
1012 parameter [0:0] LOOPBACK_CFG = 1'b0;
1013 parameter [1:0] OUTREFCLK_SEL_INV = 2'b11;
1014 parameter PCS_PCIE_EN = "FALSE";
1015 parameter [47:0] PCS_RSVD_ATTR = 48'h000000000000;
1016 parameter [11:0] PD_TRANS_TIME_FROM_P2 = 12'h03C;
1017 parameter [7:0] PD_TRANS_TIME_NONE_P2 = 8'h19;
1018 parameter [7:0] PD_TRANS_TIME_TO_P2 = 8'h64;
1019 parameter [0:0] PMA_LOOPBACK_CFG = 1'b0;
1020 parameter [31:0] PMA_RSV = 32'h00000333;
1021 parameter [31:0] PMA_RSV2 = 32'h00002050;
1022 parameter [1:0] PMA_RSV3 = 2'b00;
1023 parameter [3:0] PMA_RSV4 = 4'b0000;
1024 parameter [0:0] PMA_RSV5 = 1'b0;
1025 parameter [0:0] PMA_RSV6 = 1'b0;
1026 parameter [0:0] PMA_RSV7 = 1'b0;
1027 parameter [4:0] RXBUFRESET_TIME = 5'b00001;
1028 parameter RXBUF_ADDR_MODE = "FULL";
1029 parameter [3:0] RXBUF_EIDLE_HI_CNT = 4'b1000;
1030 parameter [3:0] RXBUF_EIDLE_LO_CNT = 4'b0000;
1031 parameter RXBUF_EN = "TRUE";
1032 parameter RXBUF_RESET_ON_CB_CHANGE = "TRUE";
1033 parameter RXBUF_RESET_ON_COMMAALIGN = "FALSE";
1034 parameter RXBUF_RESET_ON_EIDLE = "FALSE";
1035 parameter RXBUF_RESET_ON_RATE_CHANGE = "TRUE";
1036 parameter integer RXBUF_THRESH_OVFLW = 61;
1037 parameter RXBUF_THRESH_OVRD = "FALSE";
1038 parameter integer RXBUF_THRESH_UNDFLW = 4;
1039 parameter [4:0] RXCDRFREQRESET_TIME = 5'b00001;
1040 parameter [4:0] RXCDRPHRESET_TIME = 5'b00001;
1041 parameter [82:0] RXCDR_CFG = 83'h0000107FE406001041010;
1042 parameter [0:0] RXCDR_FR_RESET_ON_EIDLE = 1'b0;
1043 parameter [0:0] RXCDR_HOLD_DURING_EIDLE = 1'b0;
1044 parameter [5:0] RXCDR_LOCK_CFG = 6'b001001;
1045 parameter [0:0] RXCDR_PH_RESET_ON_EIDLE = 1'b0;
1046 parameter [15:0] RXDLY_CFG = 16'h0010;
1047 parameter [8:0] RXDLY_LCFG = 9'h020;
1048 parameter [15:0] RXDLY_TAP_CFG = 16'h0000;
1049 parameter RXGEARBOX_EN = "FALSE";
1050 parameter [4:0] RXISCANRESET_TIME = 5'b00001;
1051 parameter [6:0] RXLPMRESET_TIME = 7'b0001111;
1052 parameter [0:0] RXLPM_BIAS_STARTUP_DISABLE = 1'b0;
1053 parameter [3:0] RXLPM_CFG = 4'b0110;
1054 parameter [0:0] RXLPM_CFG1 = 1'b0;
1055 parameter [0:0] RXLPM_CM_CFG = 1'b0;
1056 parameter [8:0] RXLPM_GC_CFG = 9'b111100010;
1057 parameter [2:0] RXLPM_GC_CFG2 = 3'b001;
1058 parameter [13:0] RXLPM_HF_CFG = 14'b00001111110000;
1059 parameter [4:0] RXLPM_HF_CFG2 = 5'b01010;
1060 parameter [3:0] RXLPM_HF_CFG3 = 4'b0000;
1061 parameter [0:0] RXLPM_HOLD_DURING_EIDLE = 1'b0;
1062 parameter [0:0] RXLPM_INCM_CFG = 1'b0;
1063 parameter [0:0] RXLPM_IPCM_CFG = 1'b0;
1064 parameter [17:0] RXLPM_LF_CFG = 18'b000000001111110000;
1065 parameter [4:0] RXLPM_LF_CFG2 = 5'b01010;
1066 parameter [2:0] RXLPM_OSINT_CFG = 3'b100;
1067 parameter [6:0] RXOOB_CFG = 7'b0000110;
1068 parameter RXOOB_CLK_CFG = "PMA";
1069 parameter [4:0] RXOSCALRESET_TIME = 5'b00011;
1070 parameter [4:0] RXOSCALRESET_TIMEOUT = 5'b00000;
1071 parameter integer RXOUT_DIV = 2;
1072 parameter [4:0] RXPCSRESET_TIME = 5'b00001;
1073 parameter [23:0] RXPHDLY_CFG = 24'h084000;
1074 parameter [23:0] RXPH_CFG = 24'hC00002;
1075 parameter [4:0] RXPH_MONITOR_SEL = 5'b00000;
1076 parameter [2:0] RXPI_CFG0 = 3'b000;
1077 parameter [0:0] RXPI_CFG1 = 1'b0;
1078 parameter [0:0] RXPI_CFG2 = 1'b0;
1079 parameter [4:0] RXPMARESET_TIME = 5'b00011;
1080 parameter [0:0] RXPRBS_ERR_LOOPBACK = 1'b0;
1081 parameter integer RXSLIDE_AUTO_WAIT = 7;
1082 parameter RXSLIDE_MODE = "OFF";
1083 parameter [0:0] RXSYNC_MULTILANE = 1'b0;
1084 parameter [0:0] RXSYNC_OVRD = 1'b0;
1085 parameter [0:0] RXSYNC_SKIP_DA = 1'b0;
1086 parameter [15:0] RX_BIAS_CFG = 16'b0000111100110011;
1087 parameter [5:0] RX_BUFFER_CFG = 6'b000000;
1088 parameter integer RX_CLK25_DIV = 7;
1089 parameter [0:0] RX_CLKMUX_EN = 1'b1;
1090 parameter [1:0] RX_CM_SEL = 2'b11;
1091 parameter [3:0] RX_CM_TRIM = 4'b0100;
1092 parameter integer RX_DATA_WIDTH = 20;
1093 parameter [5:0] RX_DDI_SEL = 6'b000000;
1094 parameter [13:0] RX_DEBUG_CFG = 14'b00000000000000;
1095 parameter RX_DEFER_RESET_BUF_EN = "TRUE";
1096 parameter RX_DISPERR_SEQ_MATCH = "TRUE";
1097 parameter [12:0] RX_OS_CFG = 13'b0001111110000;
1098 parameter integer RX_SIG_VALID_DLY = 10;
1099 parameter RX_XCLK_SEL = "RXREC";
1100 parameter integer SAS_MAX_COM = 64;
1101 parameter integer SAS_MIN_COM = 36;
1102 parameter [3:0] SATA_BURST_SEQ_LEN = 4'b1111;
1103 parameter [2:0] SATA_BURST_VAL = 3'b100;
1104 parameter [2:0] SATA_EIDLE_VAL = 3'b100;
1105 parameter integer SATA_MAX_BURST = 8;
1106 parameter integer SATA_MAX_INIT = 21;
1107 parameter integer SATA_MAX_WAKE = 7;
1108 parameter integer SATA_MIN_BURST = 4;
1109 parameter integer SATA_MIN_INIT = 12;
1110 parameter integer SATA_MIN_WAKE = 4;
1111 parameter SATA_PLL_CFG = "VCO_3000MHZ";
1112 parameter SHOW_REALIGN_COMMA = "TRUE";
1113 parameter SIM_RECEIVER_DETECT_PASS = "TRUE";
1114 parameter SIM_RESET_SPEEDUP = "TRUE";
1115 parameter SIM_TX_EIDLE_DRIVE_LEVEL = "X";
1116 parameter SIM_VERSION = "1.0";
1117 parameter [14:0] TERM_RCAL_CFG = 15'b100001000010000;
1118 parameter [2:0] TERM_RCAL_OVRD = 3'b000;
1119 parameter [7:0] TRANS_TIME_RATE = 8'h0E;
1120 parameter [31:0] TST_RSV = 32'h00000000;
1121 parameter TXBUF_EN = "TRUE";
1122 parameter TXBUF_RESET_ON_RATE_CHANGE = "FALSE";
1123 parameter [15:0] TXDLY_CFG = 16'h0010;
1124 parameter [8:0] TXDLY_LCFG = 9'h020;
1125 parameter [15:0] TXDLY_TAP_CFG = 16'h0000;
1126 parameter TXGEARBOX_EN = "FALSE";
1127 parameter [0:0] TXOOB_CFG = 1'b0;
1128 parameter integer TXOUT_DIV = 2;
1129 parameter [4:0] TXPCSRESET_TIME = 5'b00001;
1130 parameter [23:0] TXPHDLY_CFG = 24'h084000;
1131 parameter [15:0] TXPH_CFG = 16'h0400;
1132 parameter [4:0] TXPH_MONITOR_SEL = 5'b00000;
1133 parameter [1:0] TXPI_CFG0 = 2'b00;
1134 parameter [1:0] TXPI_CFG1 = 2'b00;
1135 parameter [1:0] TXPI_CFG2 = 2'b00;
1136 parameter [0:0] TXPI_CFG3 = 1'b0;
1137 parameter [0:0] TXPI_CFG4 = 1'b0;
1138 parameter [2:0] TXPI_CFG5 = 3'b000;
1139 parameter [0:0] TXPI_GREY_SEL = 1'b0;
1140 parameter [0:0] TXPI_INVSTROBE_SEL = 1'b0;
1141 parameter TXPI_PPMCLK_SEL = "TXUSRCLK2";
1142 parameter [7:0] TXPI_PPM_CFG = 8'b00000000;
1143 parameter [2:0] TXPI_SYNFREQ_PPM = 3'b000;
1144 parameter [4:0] TXPMARESET_TIME = 5'b00001;
1145 parameter [0:0] TXSYNC_MULTILANE = 1'b0;
1146 parameter [0:0] TXSYNC_OVRD = 1'b0;
1147 parameter [0:0] TXSYNC_SKIP_DA = 1'b0;
1148 parameter integer TX_CLK25_DIV = 7;
1149 parameter [0:0] TX_CLKMUX_EN = 1'b1;
1150 parameter integer TX_DATA_WIDTH = 20;
1151 parameter [5:0] TX_DEEMPH0 = 6'b000000;
1152 parameter [5:0] TX_DEEMPH1 = 6'b000000;
1153 parameter TX_DRIVE_MODE = "DIRECT";
1154 parameter [2:0] TX_EIDLE_ASSERT_DELAY = 3'b110;
1155 parameter [2:0] TX_EIDLE_DEASSERT_DELAY = 3'b100;
1156 parameter TX_LOOPBACK_DRIVE_HIZ = "FALSE";
1157 parameter [0:0] TX_MAINCURSOR_SEL = 1'b0;
1158 parameter [6:0] TX_MARGIN_FULL_0 = 7'b1001110;
1159 parameter [6:0] TX_MARGIN_FULL_1 = 7'b1001001;
1160 parameter [6:0] TX_MARGIN_FULL_2 = 7'b1000101;
1161 parameter [6:0] TX_MARGIN_FULL_3 = 7'b1000010;
1162 parameter [6:0] TX_MARGIN_FULL_4 = 7'b1000000;
1163 parameter [6:0] TX_MARGIN_LOW_0 = 7'b1000110;
1164 parameter [6:0] TX_MARGIN_LOW_1 = 7'b1000100;
1165 parameter [6:0] TX_MARGIN_LOW_2 = 7'b1000010;
1166 parameter [6:0] TX_MARGIN_LOW_3 = 7'b1000000;
1167 parameter [6:0] TX_MARGIN_LOW_4 = 7'b1000000;
1168 parameter [0:0] TX_PREDRIVER_MODE = 1'b0;
1169 parameter [13:0] TX_RXDETECT_CFG = 14'h1832;
1170 parameter [2:0] TX_RXDETECT_REF = 3'b100;
1171 parameter TX_XCLK_SEL = "TXUSR";
1172 parameter [0:0] UCODEER_CLR = 1'b0;
1173 parameter [0:0] USE_PCS_CLK_PHASE_SEL = 1'b0;
1174 output DRPRDY;
1175 output EYESCANDATAERROR;
1176 output GTPTXN;
1177 output GTPTXP;
1178 output PHYSTATUS;
1179 output PMARSVDOUT0;
1180 output PMARSVDOUT1;
1181 output RXBYTEISALIGNED;
1182 output RXBYTEREALIGN;
1183 output RXCDRLOCK;
1184 output RXCHANBONDSEQ;
1185 output RXCHANISALIGNED;
1186 output RXCHANREALIGN;
1187 output RXCOMINITDET;
1188 output RXCOMMADET;
1189 output RXCOMSASDET;
1190 output RXCOMWAKEDET;
1191 output RXDLYSRESETDONE;
1192 output RXELECIDLE;
1193 output RXHEADERVALID;
1194 output RXOSINTDONE;
1195 output RXOSINTSTARTED;
1196 output RXOSINTSTROBEDONE;
1197 output RXOSINTSTROBESTARTED;
1198 output RXOUTCLK;
1199 output RXOUTCLKFABRIC;
1200 output RXOUTCLKPCS;
1201 output RXPHALIGNDONE;
1202 output RXPMARESETDONE;
1203 output RXPRBSERR;
1204 output RXRATEDONE;
1205 output RXRESETDONE;
1206 output RXSYNCDONE;
1207 output RXSYNCOUT;
1208 output RXVALID;
1209 output TXCOMFINISH;
1210 output TXDLYSRESETDONE;
1211 output TXGEARBOXREADY;
1212 output TXOUTCLK;
1213 output TXOUTCLKFABRIC;
1214 output TXOUTCLKPCS;
1215 output TXPHALIGNDONE;
1216 output TXPHINITDONE;
1217 output TXPMARESETDONE;
1218 output TXRATEDONE;
1219 output TXRESETDONE;
1220 output TXSYNCDONE;
1221 output TXSYNCOUT;
1222 output [14:0] DMONITOROUT;
1223 output [15:0] DRPDO;
1224 output [15:0] PCSRSVDOUT;
1225 output [1:0] RXCLKCORCNT;
1226 output [1:0] RXDATAVALID;
1227 output [1:0] RXSTARTOFSEQ;
1228 output [1:0] TXBUFSTATUS;
1229 output [2:0] RXBUFSTATUS;
1230 output [2:0] RXHEADER;
1231 output [2:0] RXSTATUS;
1232 output [31:0] RXDATA;
1233 output [3:0] RXCHARISCOMMA;
1234 output [3:0] RXCHARISK;
1235 output [3:0] RXCHBONDO;
1236 output [3:0] RXDISPERR;
1237 output [3:0] RXNOTINTABLE;
1238 output [4:0] RXPHMONITOR;
1239 output [4:0] RXPHSLIPMONITOR;
1240 input CFGRESET;
1241 input CLKRSVD0;
1242 input CLKRSVD1;
1243 input DMONFIFORESET;
1244 input DMONITORCLK;
1245 input DRPCLK;
1246 input DRPEN;
1247 input DRPWE;
1248 input EYESCANMODE;
1249 input EYESCANRESET;
1250 input EYESCANTRIGGER;
1251 input GTPRXN;
1252 input GTPRXP;
1253 input GTRESETSEL;
1254 input GTRXRESET;
1255 input GTTXRESET;
1256 input PLL0CLK;
1257 input PLL0REFCLK;
1258 input PLL1CLK;
1259 input PLL1REFCLK;
1260 input PMARSVDIN0;
1261 input PMARSVDIN1;
1262 input PMARSVDIN2;
1263 input PMARSVDIN3;
1264 input PMARSVDIN4;
1265 input RESETOVRD;
1266 input RX8B10BEN;
1267 input RXBUFRESET;
1268 input RXCDRFREQRESET;
1269 input RXCDRHOLD;
1270 input RXCDROVRDEN;
1271 input RXCDRRESET;
1272 input RXCDRRESETRSV;
1273 input RXCHBONDEN;
1274 input RXCHBONDMASTER;
1275 input RXCHBONDSLAVE;
1276 input RXCOMMADETEN;
1277 input RXDDIEN;
1278 input RXDFEXYDEN;
1279 input RXDLYBYPASS;
1280 input RXDLYEN;
1281 input RXDLYOVRDEN;
1282 input RXDLYSRESET;
1283 input RXGEARBOXSLIP;
1284 input RXLPMHFHOLD;
1285 input RXLPMHFOVRDEN;
1286 input RXLPMLFHOLD;
1287 input RXLPMLFOVRDEN;
1288 input RXLPMOSINTNTRLEN;
1289 input RXLPMRESET;
1290 input RXMCOMMAALIGNEN;
1291 input RXOOBRESET;
1292 input RXOSCALRESET;
1293 input RXOSHOLD;
1294 input RXOSINTEN;
1295 input RXOSINTHOLD;
1296 input RXOSINTNTRLEN;
1297 input RXOSINTOVRDEN;
1298 input RXOSINTPD;
1299 input RXOSINTSTROBE;
1300 input RXOSINTTESTOVRDEN;
1301 input RXOSOVRDEN;
1302 input RXPCOMMAALIGNEN;
1303 input RXPCSRESET;
1304 input RXPHALIGN;
1305 input RXPHALIGNEN;
1306 input RXPHDLYPD;
1307 input RXPHDLYRESET;
1308 input RXPHOVRDEN;
1309 input RXPMARESET;
1310 input RXPOLARITY;
1311 input RXPRBSCNTRESET;
1312 input RXRATEMODE;
1313 input RXSLIDE;
1314 input RXSYNCALLIN;
1315 input RXSYNCIN;
1316 input RXSYNCMODE;
1317 input RXUSERRDY;
1318 input RXUSRCLK2;
1319 input RXUSRCLK;
1320 input SETERRSTATUS;
1321 input SIGVALIDCLK;
1322 input TX8B10BEN;
1323 input TXCOMINIT;
1324 input TXCOMSAS;
1325 input TXCOMWAKE;
1326 input TXDEEMPH;
1327 input TXDETECTRX;
1328 input TXDIFFPD;
1329 input TXDLYBYPASS;
1330 input TXDLYEN;
1331 input TXDLYHOLD;
1332 input TXDLYOVRDEN;
1333 input TXDLYSRESET;
1334 input TXDLYUPDOWN;
1335 input TXELECIDLE;
1336 input TXINHIBIT;
1337 input TXPCSRESET;
1338 input TXPDELECIDLEMODE;
1339 input TXPHALIGN;
1340 input TXPHALIGNEN;
1341 input TXPHDLYPD;
1342 input TXPHDLYRESET;
1343 input TXPHDLYTSTCLK;
1344 input TXPHINIT;
1345 input TXPHOVRDEN;
1346 input TXPIPPMEN;
1347 input TXPIPPMOVRDEN;
1348 input TXPIPPMPD;
1349 input TXPIPPMSEL;
1350 input TXPISOPD;
1351 input TXPMARESET;
1352 input TXPOLARITY;
1353 input TXPOSTCURSORINV;
1354 input TXPRBSFORCEERR;
1355 input TXPRECURSORINV;
1356 input TXRATEMODE;
1357 input TXSTARTSEQ;
1358 input TXSWING;
1359 input TXSYNCALLIN;
1360 input TXSYNCIN;
1361 input TXSYNCMODE;
1362 input TXUSERRDY;
1363 input TXUSRCLK2;
1364 input TXUSRCLK;
1365 input [13:0] RXADAPTSELTEST;
1366 input [15:0] DRPDI;
1367 input [15:0] GTRSVD;
1368 input [15:0] PCSRSVDIN;
1369 input [19:0] TSTIN;
1370 input [1:0] RXELECIDLEMODE;
1371 input [1:0] RXPD;
1372 input [1:0] RXSYSCLKSEL;
1373 input [1:0] TXPD;
1374 input [1:0] TXSYSCLKSEL;
1375 input [2:0] LOOPBACK;
1376 input [2:0] RXCHBONDLEVEL;
1377 input [2:0] RXOUTCLKSEL;
1378 input [2:0] RXPRBSSEL;
1379 input [2:0] RXRATE;
1380 input [2:0] TXBUFDIFFCTRL;
1381 input [2:0] TXHEADER;
1382 input [2:0] TXMARGIN;
1383 input [2:0] TXOUTCLKSEL;
1384 input [2:0] TXPRBSSEL;
1385 input [2:0] TXRATE;
1386 input [31:0] TXDATA;
1387 input [3:0] RXCHBONDI;
1388 input [3:0] RXOSINTCFG;
1389 input [3:0] RXOSINTID0;
1390 input [3:0] TX8B10BBYPASS;
1391 input [3:0] TXCHARDISPMODE;
1392 input [3:0] TXCHARDISPVAL;
1393 input [3:0] TXCHARISK;
1394 input [3:0] TXDIFFCTRL;
1395 input [4:0] TXPIPPMSTEPSIZE;
1396 input [4:0] TXPOSTCURSOR;
1397 input [4:0] TXPRECURSOR;
1398 input [6:0] TXMAINCURSOR;
1399 input [6:0] TXSEQUENCE;
1400 input [8:0] DRPADDR;
1401 endmodule
1402
1403 module GTPE2_COMMON (...);
1404 parameter [63:0] BIAS_CFG = 64'h0000000000000000;
1405 parameter [31:0] COMMON_CFG = 32'h00000000;
1406 parameter [0:0] IS_DRPCLK_INVERTED = 1'b0;
1407 parameter [0:0] IS_GTGREFCLK0_INVERTED = 1'b0;
1408 parameter [0:0] IS_GTGREFCLK1_INVERTED = 1'b0;
1409 parameter [0:0] IS_PLL0LOCKDETCLK_INVERTED = 1'b0;
1410 parameter [0:0] IS_PLL1LOCKDETCLK_INVERTED = 1'b0;
1411 parameter [26:0] PLL0_CFG = 27'h01F03DC;
1412 parameter [0:0] PLL0_DMON_CFG = 1'b0;
1413 parameter integer PLL0_FBDIV = 4;
1414 parameter integer PLL0_FBDIV_45 = 5;
1415 parameter [23:0] PLL0_INIT_CFG = 24'h00001E;
1416 parameter [8:0] PLL0_LOCK_CFG = 9'h1E8;
1417 parameter integer PLL0_REFCLK_DIV = 1;
1418 parameter [26:0] PLL1_CFG = 27'h01F03DC;
1419 parameter [0:0] PLL1_DMON_CFG = 1'b0;
1420 parameter integer PLL1_FBDIV = 4;
1421 parameter integer PLL1_FBDIV_45 = 5;
1422 parameter [23:0] PLL1_INIT_CFG = 24'h00001E;
1423 parameter [8:0] PLL1_LOCK_CFG = 9'h1E8;
1424 parameter integer PLL1_REFCLK_DIV = 1;
1425 parameter [7:0] PLL_CLKOUT_CFG = 8'b00000000;
1426 parameter [15:0] RSVD_ATTR0 = 16'h0000;
1427 parameter [15:0] RSVD_ATTR1 = 16'h0000;
1428 parameter [2:0] SIM_PLL0REFCLK_SEL = 3'b001;
1429 parameter [2:0] SIM_PLL1REFCLK_SEL = 3'b001;
1430 parameter SIM_RESET_SPEEDUP = "TRUE";
1431 parameter SIM_VERSION = "1.0";
1432 output DRPRDY;
1433 output PLL0FBCLKLOST;
1434 output PLL0LOCK;
1435 output PLL0OUTCLK;
1436 output PLL0OUTREFCLK;
1437 output PLL0REFCLKLOST;
1438 output PLL1FBCLKLOST;
1439 output PLL1LOCK;
1440 output PLL1OUTCLK;
1441 output PLL1OUTREFCLK;
1442 output PLL1REFCLKLOST;
1443 output REFCLKOUTMONITOR0;
1444 output REFCLKOUTMONITOR1;
1445 output [15:0] DRPDO;
1446 output [15:0] PMARSVDOUT;
1447 output [7:0] DMONITOROUT;
1448 input BGBYPASSB;
1449 input BGMONITORENB;
1450 input BGPDB;
1451 input BGRCALOVRDENB;
1452 input DRPCLK;
1453 input DRPEN;
1454 input DRPWE;
1455 input GTEASTREFCLK0;
1456 input GTEASTREFCLK1;
1457 input GTGREFCLK0;
1458 input GTGREFCLK1;
1459 input GTREFCLK0;
1460 input GTREFCLK1;
1461 input GTWESTREFCLK0;
1462 input GTWESTREFCLK1;
1463 input PLL0LOCKDETCLK;
1464 input PLL0LOCKEN;
1465 input PLL0PD;
1466 input PLL0RESET;
1467 input PLL1LOCKDETCLK;
1468 input PLL1LOCKEN;
1469 input PLL1PD;
1470 input PLL1RESET;
1471 input RCALENB;
1472 input [15:0] DRPDI;
1473 input [15:0] PLLRSVD1;
1474 input [2:0] PLL0REFCLKSEL;
1475 input [2:0] PLL1REFCLKSEL;
1476 input [4:0] BGRCALOVRD;
1477 input [4:0] PLLRSVD2;
1478 input [7:0] DRPADDR;
1479 input [7:0] PMARSVD;
1480 endmodule
1481
1482 module GTXE2_CHANNEL (...);
1483 parameter ALIGN_COMMA_DOUBLE = "FALSE";
1484 parameter [9:0] ALIGN_COMMA_ENABLE = 10'b0001111111;
1485 parameter integer ALIGN_COMMA_WORD = 1;
1486 parameter ALIGN_MCOMMA_DET = "TRUE";
1487 parameter [9:0] ALIGN_MCOMMA_VALUE = 10'b1010000011;
1488 parameter ALIGN_PCOMMA_DET = "TRUE";
1489 parameter [9:0] ALIGN_PCOMMA_VALUE = 10'b0101111100;
1490 parameter CBCC_DATA_SOURCE_SEL = "DECODED";
1491 parameter CHAN_BOND_KEEP_ALIGN = "FALSE";
1492 parameter integer CHAN_BOND_MAX_SKEW = 7;
1493 parameter [9:0] CHAN_BOND_SEQ_1_1 = 10'b0101111100;
1494 parameter [9:0] CHAN_BOND_SEQ_1_2 = 10'b0000000000;
1495 parameter [9:0] CHAN_BOND_SEQ_1_3 = 10'b0000000000;
1496 parameter [9:0] CHAN_BOND_SEQ_1_4 = 10'b0000000000;
1497 parameter [3:0] CHAN_BOND_SEQ_1_ENABLE = 4'b1111;
1498 parameter [9:0] CHAN_BOND_SEQ_2_1 = 10'b0100000000;
1499 parameter [9:0] CHAN_BOND_SEQ_2_2 = 10'b0100000000;
1500 parameter [9:0] CHAN_BOND_SEQ_2_3 = 10'b0100000000;
1501 parameter [9:0] CHAN_BOND_SEQ_2_4 = 10'b0100000000;
1502 parameter [3:0] CHAN_BOND_SEQ_2_ENABLE = 4'b1111;
1503 parameter CHAN_BOND_SEQ_2_USE = "FALSE";
1504 parameter integer CHAN_BOND_SEQ_LEN = 1;
1505 parameter CLK_CORRECT_USE = "TRUE";
1506 parameter CLK_COR_KEEP_IDLE = "FALSE";
1507 parameter integer CLK_COR_MAX_LAT = 20;
1508 parameter integer CLK_COR_MIN_LAT = 18;
1509 parameter CLK_COR_PRECEDENCE = "TRUE";
1510 parameter integer CLK_COR_REPEAT_WAIT = 0;
1511 parameter [9:0] CLK_COR_SEQ_1_1 = 10'b0100011100;
1512 parameter [9:0] CLK_COR_SEQ_1_2 = 10'b0000000000;
1513 parameter [9:0] CLK_COR_SEQ_1_3 = 10'b0000000000;
1514 parameter [9:0] CLK_COR_SEQ_1_4 = 10'b0000000000;
1515 parameter [3:0] CLK_COR_SEQ_1_ENABLE = 4'b1111;
1516 parameter [9:0] CLK_COR_SEQ_2_1 = 10'b0100000000;
1517 parameter [9:0] CLK_COR_SEQ_2_2 = 10'b0100000000;
1518 parameter [9:0] CLK_COR_SEQ_2_3 = 10'b0100000000;
1519 parameter [9:0] CLK_COR_SEQ_2_4 = 10'b0100000000;
1520 parameter [3:0] CLK_COR_SEQ_2_ENABLE = 4'b1111;
1521 parameter CLK_COR_SEQ_2_USE = "FALSE";
1522 parameter integer CLK_COR_SEQ_LEN = 1;
1523 parameter [23:0] CPLL_CFG = 24'hB007D8;
1524 parameter integer CPLL_FBDIV = 4;
1525 parameter integer CPLL_FBDIV_45 = 5;
1526 parameter [23:0] CPLL_INIT_CFG = 24'h00001E;
1527 parameter [15:0] CPLL_LOCK_CFG = 16'h01E8;
1528 parameter integer CPLL_REFCLK_DIV = 1;
1529 parameter DEC_MCOMMA_DETECT = "TRUE";
1530 parameter DEC_PCOMMA_DETECT = "TRUE";
1531 parameter DEC_VALID_COMMA_ONLY = "TRUE";
1532 parameter [23:0] DMONITOR_CFG = 24'h000A00;
1533 parameter [5:0] ES_CONTROL = 6'b000000;
1534 parameter ES_ERRDET_EN = "FALSE";
1535 parameter ES_EYE_SCAN_EN = "FALSE";
1536 parameter [11:0] ES_HORZ_OFFSET = 12'h000;
1537 parameter [9:0] ES_PMA_CFG = 10'b0000000000;
1538 parameter [4:0] ES_PRESCALE = 5'b00000;
1539 parameter [79:0] ES_QUALIFIER = 80'h00000000000000000000;
1540 parameter [79:0] ES_QUAL_MASK = 80'h00000000000000000000;
1541 parameter [79:0] ES_SDATA_MASK = 80'h00000000000000000000;
1542 parameter [8:0] ES_VERT_OFFSET = 9'b000000000;
1543 parameter [3:0] FTS_DESKEW_SEQ_ENABLE = 4'b1111;
1544 parameter [3:0] FTS_LANE_DESKEW_CFG = 4'b1111;
1545 parameter FTS_LANE_DESKEW_EN = "FALSE";
1546 parameter [2:0] GEARBOX_MODE = 3'b000;
1547 parameter [0:0] IS_CPLLLOCKDETCLK_INVERTED = 1'b0;
1548 parameter [0:0] IS_DRPCLK_INVERTED = 1'b0;
1549 parameter [0:0] IS_GTGREFCLK_INVERTED = 1'b0;
1550 parameter [0:0] IS_RXUSRCLK2_INVERTED = 1'b0;
1551 parameter [0:0] IS_RXUSRCLK_INVERTED = 1'b0;
1552 parameter [0:0] IS_TXPHDLYTSTCLK_INVERTED = 1'b0;
1553 parameter [0:0] IS_TXUSRCLK2_INVERTED = 1'b0;
1554 parameter [0:0] IS_TXUSRCLK_INVERTED = 1'b0;
1555 parameter [1:0] OUTREFCLK_SEL_INV = 2'b11;
1556 parameter PCS_PCIE_EN = "FALSE";
1557 parameter [47:0] PCS_RSVD_ATTR = 48'h000000000000;
1558 parameter [11:0] PD_TRANS_TIME_FROM_P2 = 12'h03C;
1559 parameter [7:0] PD_TRANS_TIME_NONE_P2 = 8'h19;
1560 parameter [7:0] PD_TRANS_TIME_TO_P2 = 8'h64;
1561 parameter [31:0] PMA_RSV = 32'h00000000;
1562 parameter [15:0] PMA_RSV2 = 16'h2050;
1563 parameter [1:0] PMA_RSV3 = 2'b00;
1564 parameter [31:0] PMA_RSV4 = 32'h00000000;
1565 parameter [4:0] RXBUFRESET_TIME = 5'b00001;
1566 parameter RXBUF_ADDR_MODE = "FULL";
1567 parameter [3:0] RXBUF_EIDLE_HI_CNT = 4'b1000;
1568 parameter [3:0] RXBUF_EIDLE_LO_CNT = 4'b0000;
1569 parameter RXBUF_EN = "TRUE";
1570 parameter RXBUF_RESET_ON_CB_CHANGE = "TRUE";
1571 parameter RXBUF_RESET_ON_COMMAALIGN = "FALSE";
1572 parameter RXBUF_RESET_ON_EIDLE = "FALSE";
1573 parameter RXBUF_RESET_ON_RATE_CHANGE = "TRUE";
1574 parameter integer RXBUF_THRESH_OVFLW = 61;
1575 parameter RXBUF_THRESH_OVRD = "FALSE";
1576 parameter integer RXBUF_THRESH_UNDFLW = 4;
1577 parameter [4:0] RXCDRFREQRESET_TIME = 5'b00001;
1578 parameter [4:0] RXCDRPHRESET_TIME = 5'b00001;
1579 parameter [71:0] RXCDR_CFG = 72'h0B000023FF20400020;
1580 parameter [0:0] RXCDR_FR_RESET_ON_EIDLE = 1'b0;
1581 parameter [0:0] RXCDR_HOLD_DURING_EIDLE = 1'b0;
1582 parameter [5:0] RXCDR_LOCK_CFG = 6'b010101;
1583 parameter [0:0] RXCDR_PH_RESET_ON_EIDLE = 1'b0;
1584 parameter [6:0] RXDFELPMRESET_TIME = 7'b0001111;
1585 parameter [15:0] RXDLY_CFG = 16'h001F;
1586 parameter [8:0] RXDLY_LCFG = 9'h030;
1587 parameter [15:0] RXDLY_TAP_CFG = 16'h0000;
1588 parameter RXGEARBOX_EN = "FALSE";
1589 parameter [4:0] RXISCANRESET_TIME = 5'b00001;
1590 parameter [13:0] RXLPM_HF_CFG = 14'b00000011110000;
1591 parameter [13:0] RXLPM_LF_CFG = 14'b00000011110000;
1592 parameter [6:0] RXOOB_CFG = 7'b0000110;
1593 parameter integer RXOUT_DIV = 2;
1594 parameter [4:0] RXPCSRESET_TIME = 5'b00001;
1595 parameter [23:0] RXPHDLY_CFG = 24'h084020;
1596 parameter [23:0] RXPH_CFG = 24'h000000;
1597 parameter [4:0] RXPH_MONITOR_SEL = 5'b00000;
1598 parameter [4:0] RXPMARESET_TIME = 5'b00011;
1599 parameter [0:0] RXPRBS_ERR_LOOPBACK = 1'b0;
1600 parameter integer RXSLIDE_AUTO_WAIT = 7;
1601 parameter RXSLIDE_MODE = "OFF";
1602 parameter [11:0] RX_BIAS_CFG = 12'b000000000000;
1603 parameter [5:0] RX_BUFFER_CFG = 6'b000000;
1604 parameter integer RX_CLK25_DIV = 7;
1605 parameter [0:0] RX_CLKMUX_PD = 1'b1;
1606 parameter [1:0] RX_CM_SEL = 2'b11;
1607 parameter [2:0] RX_CM_TRIM = 3'b100;
1608 parameter integer RX_DATA_WIDTH = 20;
1609 parameter [5:0] RX_DDI_SEL = 6'b000000;
1610 parameter [11:0] RX_DEBUG_CFG = 12'b000000000000;
1611 parameter RX_DEFER_RESET_BUF_EN = "TRUE";
1612 parameter [22:0] RX_DFE_GAIN_CFG = 23'h180E0F;
1613 parameter [11:0] RX_DFE_H2_CFG = 12'b000111100000;
1614 parameter [11:0] RX_DFE_H3_CFG = 12'b000111100000;
1615 parameter [10:0] RX_DFE_H4_CFG = 11'b00011110000;
1616 parameter [10:0] RX_DFE_H5_CFG = 11'b00011110000;
1617 parameter [12:0] RX_DFE_KL_CFG = 13'b0001111110000;
1618 parameter [31:0] RX_DFE_KL_CFG2 = 32'h3008E56A;
1619 parameter [15:0] RX_DFE_LPM_CFG = 16'h0904;
1620 parameter [0:0] RX_DFE_LPM_HOLD_DURING_EIDLE = 1'b0;
1621 parameter [16:0] RX_DFE_UT_CFG = 17'b00111111000000000;
1622 parameter [16:0] RX_DFE_VP_CFG = 17'b00011111100000000;
1623 parameter [12:0] RX_DFE_XYD_CFG = 13'b0000000010000;
1624 parameter RX_DISPERR_SEQ_MATCH = "TRUE";
1625 parameter integer RX_INT_DATAWIDTH = 0;
1626 parameter [12:0] RX_OS_CFG = 13'b0001111110000;
1627 parameter integer RX_SIG_VALID_DLY = 10;
1628 parameter RX_XCLK_SEL = "RXREC";
1629 parameter integer SAS_MAX_COM = 64;
1630 parameter integer SAS_MIN_COM = 36;
1631 parameter [3:0] SATA_BURST_SEQ_LEN = 4'b1111;
1632 parameter [2:0] SATA_BURST_VAL = 3'b100;
1633 parameter SATA_CPLL_CFG = "VCO_3000MHZ";
1634 parameter [2:0] SATA_EIDLE_VAL = 3'b100;
1635 parameter integer SATA_MAX_BURST = 8;
1636 parameter integer SATA_MAX_INIT = 21;
1637 parameter integer SATA_MAX_WAKE = 7;
1638 parameter integer SATA_MIN_BURST = 4;
1639 parameter integer SATA_MIN_INIT = 12;
1640 parameter integer SATA_MIN_WAKE = 4;
1641 parameter SHOW_REALIGN_COMMA = "TRUE";
1642 parameter [2:0] SIM_CPLLREFCLK_SEL = 3'b001;
1643 parameter SIM_RECEIVER_DETECT_PASS = "TRUE";
1644 parameter SIM_RESET_SPEEDUP = "TRUE";
1645 parameter SIM_TX_EIDLE_DRIVE_LEVEL = "X";
1646 parameter SIM_VERSION = "4.0";
1647 parameter [4:0] TERM_RCAL_CFG = 5'b10000;
1648 parameter [0:0] TERM_RCAL_OVRD = 1'b0;
1649 parameter [7:0] TRANS_TIME_RATE = 8'h0E;
1650 parameter [31:0] TST_RSV = 32'h00000000;
1651 parameter TXBUF_EN = "TRUE";
1652 parameter TXBUF_RESET_ON_RATE_CHANGE = "FALSE";
1653 parameter [15:0] TXDLY_CFG = 16'h001F;
1654 parameter [8:0] TXDLY_LCFG = 9'h030;
1655 parameter [15:0] TXDLY_TAP_CFG = 16'h0000;
1656 parameter TXGEARBOX_EN = "FALSE";
1657 parameter integer TXOUT_DIV = 2;
1658 parameter [4:0] TXPCSRESET_TIME = 5'b00001;
1659 parameter [23:0] TXPHDLY_CFG = 24'h084020;
1660 parameter [15:0] TXPH_CFG = 16'h0780;
1661 parameter [4:0] TXPH_MONITOR_SEL = 5'b00000;
1662 parameter [4:0] TXPMARESET_TIME = 5'b00001;
1663 parameter integer TX_CLK25_DIV = 7;
1664 parameter [0:0] TX_CLKMUX_PD = 1'b1;
1665 parameter integer TX_DATA_WIDTH = 20;
1666 parameter [4:0] TX_DEEMPH0 = 5'b00000;
1667 parameter [4:0] TX_DEEMPH1 = 5'b00000;
1668 parameter TX_DRIVE_MODE = "DIRECT";
1669 parameter [2:0] TX_EIDLE_ASSERT_DELAY = 3'b110;
1670 parameter [2:0] TX_EIDLE_DEASSERT_DELAY = 3'b100;
1671 parameter integer TX_INT_DATAWIDTH = 0;
1672 parameter TX_LOOPBACK_DRIVE_HIZ = "FALSE";
1673 parameter [0:0] TX_MAINCURSOR_SEL = 1'b0;
1674 parameter [6:0] TX_MARGIN_FULL_0 = 7'b1001110;
1675 parameter [6:0] TX_MARGIN_FULL_1 = 7'b1001001;
1676 parameter [6:0] TX_MARGIN_FULL_2 = 7'b1000101;
1677 parameter [6:0] TX_MARGIN_FULL_3 = 7'b1000010;
1678 parameter [6:0] TX_MARGIN_FULL_4 = 7'b1000000;
1679 parameter [6:0] TX_MARGIN_LOW_0 = 7'b1000110;
1680 parameter [6:0] TX_MARGIN_LOW_1 = 7'b1000100;
1681 parameter [6:0] TX_MARGIN_LOW_2 = 7'b1000010;
1682 parameter [6:0] TX_MARGIN_LOW_3 = 7'b1000000;
1683 parameter [6:0] TX_MARGIN_LOW_4 = 7'b1000000;
1684 parameter [0:0] TX_PREDRIVER_MODE = 1'b0;
1685 parameter [0:0] TX_QPI_STATUS_EN = 1'b0;
1686 parameter [13:0] TX_RXDETECT_CFG = 14'h1832;
1687 parameter [2:0] TX_RXDETECT_REF = 3'b100;
1688 parameter TX_XCLK_SEL = "TXUSR";
1689 parameter [0:0] UCODEER_CLR = 1'b0;
1690 output CPLLFBCLKLOST;
1691 output CPLLLOCK;
1692 output CPLLREFCLKLOST;
1693 output DRPRDY;
1694 output EYESCANDATAERROR;
1695 output GTREFCLKMONITOR;
1696 output GTXTXN;
1697 output GTXTXP;
1698 output PHYSTATUS;
1699 output RXBYTEISALIGNED;
1700 output RXBYTEREALIGN;
1701 output RXCDRLOCK;
1702 output RXCHANBONDSEQ;
1703 output RXCHANISALIGNED;
1704 output RXCHANREALIGN;
1705 output RXCOMINITDET;
1706 output RXCOMMADET;
1707 output RXCOMSASDET;
1708 output RXCOMWAKEDET;
1709 output RXDATAVALID;
1710 output RXDLYSRESETDONE;
1711 output RXELECIDLE;
1712 output RXHEADERVALID;
1713 output RXOUTCLK;
1714 output RXOUTCLKFABRIC;
1715 output RXOUTCLKPCS;
1716 output RXPHALIGNDONE;
1717 output RXPRBSERR;
1718 output RXQPISENN;
1719 output RXQPISENP;
1720 output RXRATEDONE;
1721 output RXRESETDONE;
1722 output RXSTARTOFSEQ;
1723 output RXVALID;
1724 output TXCOMFINISH;
1725 output TXDLYSRESETDONE;
1726 output TXGEARBOXREADY;
1727 output TXOUTCLK;
1728 output TXOUTCLKFABRIC;
1729 output TXOUTCLKPCS;
1730 output TXPHALIGNDONE;
1731 output TXPHINITDONE;
1732 output TXQPISENN;
1733 output TXQPISENP;
1734 output TXRATEDONE;
1735 output TXRESETDONE;
1736 output [15:0] DRPDO;
1737 output [15:0] PCSRSVDOUT;
1738 output [1:0] RXCLKCORCNT;
1739 output [1:0] TXBUFSTATUS;
1740 output [2:0] RXBUFSTATUS;
1741 output [2:0] RXHEADER;
1742 output [2:0] RXSTATUS;
1743 output [4:0] RXCHBONDO;
1744 output [4:0] RXPHMONITOR;
1745 output [4:0] RXPHSLIPMONITOR;
1746 output [63:0] RXDATA;
1747 output [6:0] RXMONITOROUT;
1748 output [7:0] DMONITOROUT;
1749 output [7:0] RXCHARISCOMMA;
1750 output [7:0] RXCHARISK;
1751 output [7:0] RXDISPERR;
1752 output [7:0] RXNOTINTABLE;
1753 output [9:0] TSTOUT;
1754 input CFGRESET;
1755 input CPLLLOCKDETCLK;
1756 input CPLLLOCKEN;
1757 input CPLLPD;
1758 input CPLLRESET;
1759 input DRPCLK;
1760 input DRPEN;
1761 input DRPWE;
1762 input EYESCANMODE;
1763 input EYESCANRESET;
1764 input EYESCANTRIGGER;
1765 input GTGREFCLK;
1766 input GTNORTHREFCLK0;
1767 input GTNORTHREFCLK1;
1768 input GTREFCLK0;
1769 input GTREFCLK1;
1770 input GTRESETSEL;
1771 input GTRXRESET;
1772 input GTSOUTHREFCLK0;
1773 input GTSOUTHREFCLK1;
1774 input GTTXRESET;
1775 input GTXRXN;
1776 input GTXRXP;
1777 input QPLLCLK;
1778 input QPLLREFCLK;
1779 input RESETOVRD;
1780 input RX8B10BEN;
1781 input RXBUFRESET;
1782 input RXCDRFREQRESET;
1783 input RXCDRHOLD;
1784 input RXCDROVRDEN;
1785 input RXCDRRESET;
1786 input RXCDRRESETRSV;
1787 input RXCHBONDEN;
1788 input RXCHBONDMASTER;
1789 input RXCHBONDSLAVE;
1790 input RXCOMMADETEN;
1791 input RXDDIEN;
1792 input RXDFEAGCHOLD;
1793 input RXDFEAGCOVRDEN;
1794 input RXDFECM1EN;
1795 input RXDFELFHOLD;
1796 input RXDFELFOVRDEN;
1797 input RXDFELPMRESET;
1798 input RXDFETAP2HOLD;
1799 input RXDFETAP2OVRDEN;
1800 input RXDFETAP3HOLD;
1801 input RXDFETAP3OVRDEN;
1802 input RXDFETAP4HOLD;
1803 input RXDFETAP4OVRDEN;
1804 input RXDFETAP5HOLD;
1805 input RXDFETAP5OVRDEN;
1806 input RXDFEUTHOLD;
1807 input RXDFEUTOVRDEN;
1808 input RXDFEVPHOLD;
1809 input RXDFEVPOVRDEN;
1810 input RXDFEVSEN;
1811 input RXDFEXYDEN;
1812 input RXDFEXYDHOLD;
1813 input RXDFEXYDOVRDEN;
1814 input RXDLYBYPASS;
1815 input RXDLYEN;
1816 input RXDLYOVRDEN;
1817 input RXDLYSRESET;
1818 input RXGEARBOXSLIP;
1819 input RXLPMEN;
1820 input RXLPMHFHOLD;
1821 input RXLPMHFOVRDEN;
1822 input RXLPMLFHOLD;
1823 input RXLPMLFKLOVRDEN;
1824 input RXMCOMMAALIGNEN;
1825 input RXOOBRESET;
1826 input RXOSHOLD;
1827 input RXOSOVRDEN;
1828 input RXPCOMMAALIGNEN;
1829 input RXPCSRESET;
1830 input RXPHALIGN;
1831 input RXPHALIGNEN;
1832 input RXPHDLYPD;
1833 input RXPHDLYRESET;
1834 input RXPHOVRDEN;
1835 input RXPMARESET;
1836 input RXPOLARITY;
1837 input RXPRBSCNTRESET;
1838 input RXQPIEN;
1839 input RXSLIDE;
1840 input RXUSERRDY;
1841 input RXUSRCLK2;
1842 input RXUSRCLK;
1843 input SETERRSTATUS;
1844 input TX8B10BEN;
1845 input TXCOMINIT;
1846 input TXCOMSAS;
1847 input TXCOMWAKE;
1848 input TXDEEMPH;
1849 input TXDETECTRX;
1850 input TXDIFFPD;
1851 input TXDLYBYPASS;
1852 input TXDLYEN;
1853 input TXDLYHOLD;
1854 input TXDLYOVRDEN;
1855 input TXDLYSRESET;
1856 input TXDLYUPDOWN;
1857 input TXELECIDLE;
1858 input TXINHIBIT;
1859 input TXPCSRESET;
1860 input TXPDELECIDLEMODE;
1861 input TXPHALIGN;
1862 input TXPHALIGNEN;
1863 input TXPHDLYPD;
1864 input TXPHDLYRESET;
1865 input TXPHDLYTSTCLK;
1866 input TXPHINIT;
1867 input TXPHOVRDEN;
1868 input TXPISOPD;
1869 input TXPMARESET;
1870 input TXPOLARITY;
1871 input TXPOSTCURSORINV;
1872 input TXPRBSFORCEERR;
1873 input TXPRECURSORINV;
1874 input TXQPIBIASEN;
1875 input TXQPISTRONGPDOWN;
1876 input TXQPIWEAKPUP;
1877 input TXSTARTSEQ;
1878 input TXSWING;
1879 input TXUSERRDY;
1880 input TXUSRCLK2;
1881 input TXUSRCLK;
1882 input [15:0] DRPDI;
1883 input [15:0] GTRSVD;
1884 input [15:0] PCSRSVDIN;
1885 input [19:0] TSTIN;
1886 input [1:0] RXELECIDLEMODE;
1887 input [1:0] RXMONITORSEL;
1888 input [1:0] RXPD;
1889 input [1:0] RXSYSCLKSEL;
1890 input [1:0] TXPD;
1891 input [1:0] TXSYSCLKSEL;
1892 input [2:0] CPLLREFCLKSEL;
1893 input [2:0] LOOPBACK;
1894 input [2:0] RXCHBONDLEVEL;
1895 input [2:0] RXOUTCLKSEL;
1896 input [2:0] RXPRBSSEL;
1897 input [2:0] RXRATE;
1898 input [2:0] TXBUFDIFFCTRL;
1899 input [2:0] TXHEADER;
1900 input [2:0] TXMARGIN;
1901 input [2:0] TXOUTCLKSEL;
1902 input [2:0] TXPRBSSEL;
1903 input [2:0] TXRATE;
1904 input [3:0] CLKRSVD;
1905 input [3:0] TXDIFFCTRL;
1906 input [4:0] PCSRSVDIN2;
1907 input [4:0] PMARSVDIN2;
1908 input [4:0] PMARSVDIN;
1909 input [4:0] RXCHBONDI;
1910 input [4:0] TXPOSTCURSOR;
1911 input [4:0] TXPRECURSOR;
1912 input [63:0] TXDATA;
1913 input [6:0] TXMAINCURSOR;
1914 input [6:0] TXSEQUENCE;
1915 input [7:0] TX8B10BBYPASS;
1916 input [7:0] TXCHARDISPMODE;
1917 input [7:0] TXCHARDISPVAL;
1918 input [7:0] TXCHARISK;
1919 input [8:0] DRPADDR;
1920 endmodule
1921
1922 module GTXE2_COMMON (...);
1923 parameter [63:0] BIAS_CFG = 64'h0000040000001000;
1924 parameter [31:0] COMMON_CFG = 32'h00000000;
1925 parameter [0:0] IS_DRPCLK_INVERTED = 1'b0;
1926 parameter [0:0] IS_GTGREFCLK_INVERTED = 1'b0;
1927 parameter [0:0] IS_QPLLLOCKDETCLK_INVERTED = 1'b0;
1928 parameter [26:0] QPLL_CFG = 27'h0680181;
1929 parameter [3:0] QPLL_CLKOUT_CFG = 4'b0000;
1930 parameter [5:0] QPLL_COARSE_FREQ_OVRD = 6'b010000;
1931 parameter [0:0] QPLL_COARSE_FREQ_OVRD_EN = 1'b0;
1932 parameter [9:0] QPLL_CP = 10'b0000011111;
1933 parameter [0:0] QPLL_CP_MONITOR_EN = 1'b0;
1934 parameter [0:0] QPLL_DMONITOR_SEL = 1'b0;
1935 parameter [9:0] QPLL_FBDIV = 10'b0000000000;
1936 parameter [0:0] QPLL_FBDIV_MONITOR_EN = 1'b0;
1937 parameter [0:0] QPLL_FBDIV_RATIO = 1'b0;
1938 parameter [23:0] QPLL_INIT_CFG = 24'h000006;
1939 parameter [15:0] QPLL_LOCK_CFG = 16'h21E8;
1940 parameter [3:0] QPLL_LPF = 4'b1111;
1941 parameter integer QPLL_REFCLK_DIV = 2;
1942 parameter [2:0] SIM_QPLLREFCLK_SEL = 3'b001;
1943 parameter SIM_RESET_SPEEDUP = "TRUE";
1944 parameter SIM_VERSION = "4.0";
1945 output DRPRDY;
1946 output QPLLFBCLKLOST;
1947 output QPLLLOCK;
1948 output QPLLOUTCLK;
1949 output QPLLOUTREFCLK;
1950 output QPLLREFCLKLOST;
1951 output REFCLKOUTMONITOR;
1952 output [15:0] DRPDO;
1953 output [7:0] QPLLDMONITOR;
1954 input BGBYPASSB;
1955 input BGMONITORENB;
1956 input BGPDB;
1957 input DRPCLK;
1958 input DRPEN;
1959 input DRPWE;
1960 input GTGREFCLK;
1961 input GTNORTHREFCLK0;
1962 input GTNORTHREFCLK1;
1963 input GTREFCLK0;
1964 input GTREFCLK1;
1965 input GTSOUTHREFCLK0;
1966 input GTSOUTHREFCLK1;
1967 input QPLLLOCKDETCLK;
1968 input QPLLLOCKEN;
1969 input QPLLOUTRESET;
1970 input QPLLPD;
1971 input QPLLRESET;
1972 input RCALENB;
1973 input [15:0] DRPDI;
1974 input [15:0] QPLLRSVD1;
1975 input [2:0] QPLLREFCLKSEL;
1976 input [4:0] BGRCALOVRD;
1977 input [4:0] QPLLRSVD2;
1978 input [7:0] DRPADDR;
1979 input [7:0] PMARSVD;
1980 endmodule
1981
1982 (* iopad_external_pin = "I" *)
1983 module IBUF_IBUFDISABLE (...);
1984 parameter IBUF_LOW_PWR = "TRUE";
1985 parameter IOSTANDARD = "DEFAULT";
1986 parameter SIM_DEVICE = "7SERIES";
1987 parameter USE_IBUFDISABLE = "TRUE";
1988 output O;
1989 input I;
1990 input IBUFDISABLE;
1991 endmodule
1992
1993 (* iopad_external_pin = "I" *)
1994 module IBUF_INTERMDISABLE (...);
1995 parameter IBUF_LOW_PWR = "TRUE";
1996 parameter IOSTANDARD = "DEFAULT";
1997 parameter SIM_DEVICE = "7SERIES";
1998 parameter USE_IBUFDISABLE = "TRUE";
1999 output O;
2000 input I;
2001 input IBUFDISABLE;
2002 input INTERMDISABLE;
2003 endmodule
2004
2005 (* iopad_external_pin = "I,IB" *)
2006 module IBUFDS (...);
2007 parameter CAPACITANCE = "DONT_CARE";
2008 parameter DIFF_TERM = "FALSE";
2009 parameter DQS_BIAS = "FALSE";
2010 parameter IBUF_DELAY_VALUE = "0";
2011 parameter IBUF_LOW_PWR = "TRUE";
2012 parameter IFD_DELAY_VALUE = "AUTO";
2013 parameter IOSTANDARD = "DEFAULT";
2014 output O;
2015 input I, IB;
2016 endmodule
2017
2018 (* iopad_external_pin = "I,IB" *)
2019 module IBUFDS_DIFF_OUT (...);
2020 parameter DIFF_TERM = "FALSE";
2021 parameter DQS_BIAS = "FALSE";
2022 parameter IBUF_LOW_PWR = "TRUE";
2023 parameter IOSTANDARD = "DEFAULT";
2024 output O, OB;
2025 input I, IB;
2026 endmodule
2027
2028 (* iopad_external_pin = "I,IB" *)
2029 module IBUFDS_DIFF_OUT_IBUFDISABLE (...);
2030 parameter DIFF_TERM = "FALSE";
2031 parameter DQS_BIAS = "FALSE";
2032 parameter IBUF_LOW_PWR = "TRUE";
2033 parameter IOSTANDARD = "DEFAULT";
2034 parameter SIM_DEVICE = "7SERIES";
2035 parameter USE_IBUFDISABLE = "TRUE";
2036 output O;
2037 output OB;
2038 input I;
2039 input IB;
2040 input IBUFDISABLE;
2041 endmodule
2042
2043 (* iopad_external_pin = "I,IB" *)
2044 module IBUFDS_DIFF_OUT_INTERMDISABLE (...);
2045 parameter DIFF_TERM = "FALSE";
2046 parameter DQS_BIAS = "FALSE";
2047 parameter IBUF_LOW_PWR = "TRUE";
2048 parameter IOSTANDARD = "DEFAULT";
2049 parameter SIM_DEVICE = "7SERIES";
2050 parameter USE_IBUFDISABLE = "TRUE";
2051 output O;
2052 output OB;
2053 input I;
2054 input IB;
2055 input IBUFDISABLE;
2056 input INTERMDISABLE;
2057 endmodule
2058
2059 (* iopad_external_pin = "I,IB" *)
2060 module IBUFDS_GTE2 (...);
2061 parameter CLKCM_CFG = "TRUE";
2062 parameter CLKRCV_TRST = "TRUE";
2063 parameter CLKSWING_CFG = "TRUE";
2064 output O;
2065 output ODIV2;
2066 input CEB;
2067 input I;
2068 input IB;
2069 endmodule
2070
2071 (* iopad_external_pin = "I,IB" *)
2072 module IBUFDS_IBUFDISABLE (...);
2073 parameter DIFF_TERM = "FALSE";
2074 parameter DQS_BIAS = "FALSE";
2075 parameter IBUF_LOW_PWR = "TRUE";
2076 parameter IOSTANDARD = "DEFAULT";
2077 parameter SIM_DEVICE = "7SERIES";
2078 parameter USE_IBUFDISABLE = "TRUE";
2079 output O;
2080 input I;
2081 input IB;
2082 input IBUFDISABLE;
2083 endmodule
2084
2085 (* iopad_external_pin = "I,IB" *)
2086 module IBUFDS_INTERMDISABLE (...);
2087 parameter DIFF_TERM = "FALSE";
2088 parameter DQS_BIAS = "FALSE";
2089 parameter IBUF_LOW_PWR = "TRUE";
2090 parameter IOSTANDARD = "DEFAULT";
2091 parameter SIM_DEVICE = "7SERIES";
2092 parameter USE_IBUFDISABLE = "TRUE";
2093 output O;
2094 input I;
2095 input IB;
2096 input IBUFDISABLE;
2097 input INTERMDISABLE;
2098 endmodule
2099
2100 (* iopad_external_pin = "I" *)
2101 module IBUFG (...);
2102 parameter CAPACITANCE = "DONT_CARE";
2103 parameter IBUF_DELAY_VALUE = "0";
2104 parameter IBUF_LOW_PWR = "TRUE";
2105 parameter IOSTANDARD = "DEFAULT";
2106 output O;
2107 input I;
2108 endmodule
2109
2110 (* iopad_external_pin = "I,IB" *)
2111 module IBUFGDS (...);
2112 parameter CAPACITANCE = "DONT_CARE";
2113 parameter DIFF_TERM = "FALSE";
2114 parameter IBUF_DELAY_VALUE = "0";
2115 parameter IBUF_LOW_PWR = "TRUE";
2116 parameter IOSTANDARD = "DEFAULT";
2117 output O;
2118 input I, IB;
2119 endmodule
2120
2121 (* iopad_external_pin = "I,IB" *)
2122 module IBUFGDS_DIFF_OUT (...);
2123 parameter DIFF_TERM = "FALSE";
2124 parameter DQS_BIAS = "FALSE";
2125 parameter IBUF_LOW_PWR = "TRUE";
2126 parameter IOSTANDARD = "DEFAULT";
2127 output O, OB;
2128 input I, IB;
2129 endmodule
2130
2131 (* keep *)
2132 module ICAPE2 (...);
2133 parameter [31:0] DEVICE_ID = 32'h04244093;
2134 parameter ICAP_WIDTH = "X32";
2135 parameter SIM_CFG_FILE_NAME = "NONE";
2136 output [31:0] O;
2137 input CLK;
2138 input CSIB;
2139 input RDWRB;
2140 input [31:0] I;
2141 endmodule
2142
2143 (* clkbuf_sink = "C" *)
2144 module IDDR (...);
2145 parameter DDR_CLK_EDGE = "OPPOSITE_EDGE";
2146 parameter INIT_Q1 = 1'b0;
2147 parameter INIT_Q2 = 1'b0;
2148 parameter [0:0] IS_C_INVERTED = 1'b0;
2149 parameter [0:0] IS_D_INVERTED = 1'b0;
2150 parameter SRTYPE = "SYNC";
2151 parameter MSGON = "TRUE";
2152 parameter XON = "TRUE";
2153 output Q1;
2154 output Q2;
2155 input C;
2156 input CE;
2157 input D;
2158 input R;
2159 input S;
2160 endmodule
2161
2162 (* clkbuf_sink = "C,CB" *)
2163 module IDDR_2CLK (...);
2164 parameter DDR_CLK_EDGE = "OPPOSITE_EDGE";
2165 parameter INIT_Q1 = 1'b0;
2166 parameter INIT_Q2 = 1'b0;
2167 parameter [0:0] IS_CB_INVERTED = 1'b0;
2168 parameter [0:0] IS_C_INVERTED = 1'b0;
2169 parameter [0:0] IS_D_INVERTED = 1'b0;
2170 parameter SRTYPE = "SYNC";
2171 output Q1;
2172 output Q2;
2173 input C;
2174 input CB;
2175 input CE;
2176 input D;
2177 input R;
2178 input S;
2179 endmodule
2180
2181 (* keep *) (* clkbuf_sink = "REFCLK" *)
2182 module IDELAYCTRL (...);
2183 parameter SIM_DEVICE = "7SERIES";
2184 output RDY;
2185 input REFCLK;
2186 input RST;
2187 endmodule
2188
2189 (* clkbuf_sink = "C" *)
2190 module IDELAYE2 (...);
2191 parameter CINVCTRL_SEL = "FALSE";
2192 parameter DELAY_SRC = "IDATAIN";
2193 parameter HIGH_PERFORMANCE_MODE = "FALSE";
2194 parameter IDELAY_TYPE = "FIXED";
2195 parameter integer IDELAY_VALUE = 0;
2196 parameter [0:0] IS_C_INVERTED = 1'b0;
2197 parameter [0:0] IS_DATAIN_INVERTED = 1'b0;
2198 parameter [0:0] IS_IDATAIN_INVERTED = 1'b0;
2199 parameter PIPE_SEL = "FALSE";
2200 parameter real REFCLK_FREQUENCY = 200.0;
2201 parameter SIGNAL_PATTERN = "DATA";
2202 parameter integer SIM_DELAY_D = 0;
2203 output [4:0] CNTVALUEOUT;
2204 output DATAOUT;
2205 input C;
2206 input CE;
2207 input CINVCTRL;
2208 input [4:0] CNTVALUEIN;
2209 input DATAIN;
2210 input IDATAIN;
2211 input INC;
2212 input LD;
2213 input LDPIPEEN;
2214 input REGRST;
2215 endmodule
2216
2217 (* clkbuf_sink = "RDCLK,WRCLK" *)
2218 module IN_FIFO (...);
2219 parameter integer ALMOST_EMPTY_VALUE = 1;
2220 parameter integer ALMOST_FULL_VALUE = 1;
2221 parameter ARRAY_MODE = "ARRAY_MODE_4_X_8";
2222 parameter SYNCHRONOUS_MODE = "FALSE";
2223 output ALMOSTEMPTY;
2224 output ALMOSTFULL;
2225 output EMPTY;
2226 output FULL;
2227 output [7:0] Q0;
2228 output [7:0] Q1;
2229 output [7:0] Q2;
2230 output [7:0] Q3;
2231 output [7:0] Q4;
2232 output [7:0] Q5;
2233 output [7:0] Q6;
2234 output [7:0] Q7;
2235 output [7:0] Q8;
2236 output [7:0] Q9;
2237 input RDCLK;
2238 input RDEN;
2239 input RESET;
2240 input WRCLK;
2241 input WREN;
2242 input [3:0] D0;
2243 input [3:0] D1;
2244 input [3:0] D2;
2245 input [3:0] D3;
2246 input [3:0] D4;
2247 input [3:0] D7;
2248 input [3:0] D8;
2249 input [3:0] D9;
2250 input [7:0] D5;
2251 input [7:0] D6;
2252 endmodule
2253
2254 (* iopad_external_pin = "IO" *)
2255 module IOBUF (...);
2256 parameter integer DRIVE = 12;
2257 parameter IBUF_LOW_PWR = "TRUE";
2258 parameter IOSTANDARD = "DEFAULT";
2259 parameter SLEW = "SLOW";
2260 output O;
2261 inout IO;
2262 input I, T;
2263 endmodule
2264
2265 (* iopad_external_pin = "IO" *)
2266 module IOBUF_DCIEN (...);
2267 parameter integer DRIVE = 12;
2268 parameter IBUF_LOW_PWR = "TRUE";
2269 parameter IOSTANDARD = "DEFAULT";
2270 parameter SIM_DEVICE = "7SERIES";
2271 parameter SLEW = "SLOW";
2272 parameter USE_IBUFDISABLE = "TRUE";
2273 output O;
2274 inout IO;
2275 input DCITERMDISABLE;
2276 input I;
2277 input IBUFDISABLE;
2278 input T;
2279 endmodule
2280
2281 (* iopad_external_pin = "IO" *)
2282 module IOBUF_INTERMDISABLE (...);
2283 parameter integer DRIVE = 12;
2284 parameter IBUF_LOW_PWR = "TRUE";
2285 parameter IOSTANDARD = "DEFAULT";
2286 parameter SIM_DEVICE = "7SERIES";
2287 parameter SLEW = "SLOW";
2288 parameter USE_IBUFDISABLE = "TRUE";
2289 output O;
2290 inout IO;
2291 input I;
2292 input IBUFDISABLE;
2293 input INTERMDISABLE;
2294 input T;
2295 endmodule
2296
2297 (* iopad_external_pin = "IO" *)
2298 module IOBUFDS (...);
2299 parameter DIFF_TERM = "FALSE";
2300 parameter DQS_BIAS = "FALSE";
2301 parameter IBUF_LOW_PWR = "TRUE";
2302 parameter IOSTANDARD = "DEFAULT";
2303 parameter SLEW = "SLOW";
2304 output O;
2305 inout IO, IOB;
2306 input I, T;
2307 endmodule
2308
2309 (* iopad_external_pin = "IO,IOB" *)
2310 module IOBUFDS_DCIEN (...);
2311 parameter DIFF_TERM = "FALSE";
2312 parameter DQS_BIAS = "FALSE";
2313 parameter IBUF_LOW_PWR = "TRUE";
2314 parameter IOSTANDARD = "DEFAULT";
2315 parameter SIM_DEVICE = "7SERIES";
2316 parameter SLEW = "SLOW";
2317 parameter USE_IBUFDISABLE = "TRUE";
2318 output O;
2319 inout IO;
2320 inout IOB;
2321 input DCITERMDISABLE;
2322 input I;
2323 input IBUFDISABLE;
2324 input T;
2325 endmodule
2326
2327 (* iopad_external_pin = "IO,IOB" *)
2328 module IOBUFDS_DIFF_OUT (...);
2329 parameter DIFF_TERM = "FALSE";
2330 parameter DQS_BIAS = "FALSE";
2331 parameter IBUF_LOW_PWR = "TRUE";
2332 parameter IOSTANDARD = "DEFAULT";
2333 output O;
2334 output OB;
2335 inout IO;
2336 inout IOB;
2337 input I;
2338 input TM;
2339 input TS;
2340 endmodule
2341
2342 (* iopad_external_pin = "IO,IOB" *)
2343 module IOBUFDS_DIFF_OUT_DCIEN (...);
2344 parameter DIFF_TERM = "FALSE";
2345 parameter DQS_BIAS = "FALSE";
2346 parameter IBUF_LOW_PWR = "TRUE";
2347 parameter IOSTANDARD = "DEFAULT";
2348 parameter SIM_DEVICE = "7SERIES";
2349 parameter USE_IBUFDISABLE = "TRUE";
2350 output O;
2351 output OB;
2352 inout IO;
2353 inout IOB;
2354 input DCITERMDISABLE;
2355 input I;
2356 input IBUFDISABLE;
2357 input TM;
2358 input TS;
2359 endmodule
2360
2361 (* iopad_external_pin = "IO,IOB" *)
2362 module IOBUFDS_DIFF_OUT_INTERMDISABLE (...);
2363 parameter DIFF_TERM = "FALSE";
2364 parameter DQS_BIAS = "FALSE";
2365 parameter IBUF_LOW_PWR = "TRUE";
2366 parameter IOSTANDARD = "DEFAULT";
2367 parameter SIM_DEVICE = "7SERIES";
2368 parameter USE_IBUFDISABLE = "TRUE";
2369 output O;
2370 output OB;
2371 inout IO;
2372 inout IOB;
2373 input I;
2374 input IBUFDISABLE;
2375 input INTERMDISABLE;
2376 input TM;
2377 input TS;
2378 endmodule
2379
2380 (* clkbuf_sink = "CLK,CLKB,CLKDIV,CLKDIVP,OCLK,OCLKB" *)
2381 module ISERDESE2 (...);
2382 parameter DATA_RATE = "DDR";
2383 parameter integer DATA_WIDTH = 4;
2384 parameter DYN_CLKDIV_INV_EN = "FALSE";
2385 parameter DYN_CLK_INV_EN = "FALSE";
2386 parameter [0:0] INIT_Q1 = 1'b0;
2387 parameter [0:0] INIT_Q2 = 1'b0;
2388 parameter [0:0] INIT_Q3 = 1'b0;
2389 parameter [0:0] INIT_Q4 = 1'b0;
2390 parameter INTERFACE_TYPE = "MEMORY";
2391 parameter IOBDELAY = "NONE";
2392 parameter [0:0] IS_CLKB_INVERTED = 1'b0;
2393 parameter [0:0] IS_CLKDIVP_INVERTED = 1'b0;
2394 parameter [0:0] IS_CLKDIV_INVERTED = 1'b0;
2395 parameter [0:0] IS_CLK_INVERTED = 1'b0;
2396 parameter [0:0] IS_D_INVERTED = 1'b0;
2397 parameter [0:0] IS_OCLKB_INVERTED = 1'b0;
2398 parameter [0:0] IS_OCLK_INVERTED = 1'b0;
2399 parameter integer NUM_CE = 2;
2400 parameter OFB_USED = "FALSE";
2401 parameter SERDES_MODE = "MASTER";
2402 parameter [0:0] SRVAL_Q1 = 1'b0;
2403 parameter [0:0] SRVAL_Q2 = 1'b0;
2404 parameter [0:0] SRVAL_Q3 = 1'b0;
2405 parameter [0:0] SRVAL_Q4 = 1'b0;
2406 output O;
2407 output Q1;
2408 output Q2;
2409 output Q3;
2410 output Q4;
2411 output Q5;
2412 output Q6;
2413 output Q7;
2414 output Q8;
2415 output SHIFTOUT1;
2416 output SHIFTOUT2;
2417 input BITSLIP;
2418 input CE1;
2419 input CE2;
2420 input CLK;
2421 input CLKB;
2422 input CLKDIV;
2423 input CLKDIVP;
2424 input D;
2425 input DDLY;
2426 input DYNCLKDIVSEL;
2427 input DYNCLKSEL;
2428 input OCLK;
2429 input OCLKB;
2430 input OFB;
2431 input RST;
2432 input SHIFTIN1;
2433 input SHIFTIN2;
2434 endmodule
2435
2436 module KEEPER (...);
2437 inout O;
2438 endmodule
2439
2440 module LDCE (...);
2441 parameter [0:0] INIT = 1'b0;
2442 parameter [0:0] IS_CLR_INVERTED = 1'b0;
2443 parameter [0:0] IS_G_INVERTED = 1'b0;
2444 parameter MSGON = "TRUE";
2445 parameter XON = "TRUE";
2446 output Q;
2447 input CLR, D, G, GE;
2448 endmodule
2449
2450 module LDPE (...);
2451 parameter [0:0] INIT = 1'b1;
2452 parameter [0:0] IS_G_INVERTED = 1'b0;
2453 parameter [0:0] IS_PRE_INVERTED = 1'b0;
2454 parameter MSGON = "TRUE";
2455 parameter XON = "TRUE";
2456 output Q;
2457 input D, G, GE, PRE;
2458 endmodule
2459
2460 module MMCME2_ADV (...);
2461 parameter BANDWIDTH = "OPTIMIZED";
2462 parameter real CLKFBOUT_MULT_F = 5.000;
2463 parameter real CLKFBOUT_PHASE = 0.000;
2464 parameter CLKFBOUT_USE_FINE_PS = "FALSE";
2465 parameter real CLKIN1_PERIOD = 0.000;
2466 parameter real CLKIN2_PERIOD = 0.000;
2467 parameter real CLKIN_FREQ_MAX = 1066.000;
2468 parameter real CLKIN_FREQ_MIN = 10.000;
2469 parameter real CLKOUT0_DIVIDE_F = 1.000;
2470 parameter real CLKOUT0_DUTY_CYCLE = 0.500;
2471 parameter real CLKOUT0_PHASE = 0.000;
2472 parameter CLKOUT0_USE_FINE_PS = "FALSE";
2473 parameter integer CLKOUT1_DIVIDE = 1;
2474 parameter real CLKOUT1_DUTY_CYCLE = 0.500;
2475 parameter real CLKOUT1_PHASE = 0.000;
2476 parameter CLKOUT1_USE_FINE_PS = "FALSE";
2477 parameter integer CLKOUT2_DIVIDE = 1;
2478 parameter real CLKOUT2_DUTY_CYCLE = 0.500;
2479 parameter real CLKOUT2_PHASE = 0.000;
2480 parameter CLKOUT2_USE_FINE_PS = "FALSE";
2481 parameter integer CLKOUT3_DIVIDE = 1;
2482 parameter real CLKOUT3_DUTY_CYCLE = 0.500;
2483 parameter real CLKOUT3_PHASE = 0.000;
2484 parameter CLKOUT3_USE_FINE_PS = "FALSE";
2485 parameter CLKOUT4_CASCADE = "FALSE";
2486 parameter integer CLKOUT4_DIVIDE = 1;
2487 parameter real CLKOUT4_DUTY_CYCLE = 0.500;
2488 parameter real CLKOUT4_PHASE = 0.000;
2489 parameter CLKOUT4_USE_FINE_PS = "FALSE";
2490 parameter integer CLKOUT5_DIVIDE = 1;
2491 parameter real CLKOUT5_DUTY_CYCLE = 0.500;
2492 parameter real CLKOUT5_PHASE = 0.000;
2493 parameter CLKOUT5_USE_FINE_PS = "FALSE";
2494 parameter integer CLKOUT6_DIVIDE = 1;
2495 parameter real CLKOUT6_DUTY_CYCLE = 0.500;
2496 parameter real CLKOUT6_PHASE = 0.000;
2497 parameter CLKOUT6_USE_FINE_PS = "FALSE";
2498 parameter real CLKPFD_FREQ_MAX = 550.000;
2499 parameter real CLKPFD_FREQ_MIN = 10.000;
2500 parameter COMPENSATION = "ZHOLD";
2501 parameter integer DIVCLK_DIVIDE = 1;
2502 parameter [0:0] IS_CLKINSEL_INVERTED = 1'b0;
2503 parameter [0:0] IS_PSEN_INVERTED = 1'b0;
2504 parameter [0:0] IS_PSINCDEC_INVERTED = 1'b0;
2505 parameter [0:0] IS_PWRDWN_INVERTED = 1'b0;
2506 parameter [0:0] IS_RST_INVERTED = 1'b0;
2507 parameter real REF_JITTER1 = 0.010;
2508 parameter real REF_JITTER2 = 0.010;
2509 parameter SS_EN = "FALSE";
2510 parameter SS_MODE = "CENTER_HIGH";
2511 parameter integer SS_MOD_PERIOD = 10000;
2512 parameter STARTUP_WAIT = "FALSE";
2513 parameter real VCOCLK_FREQ_MAX = 1600.000;
2514 parameter real VCOCLK_FREQ_MIN = 600.000;
2515 parameter STARTUP_WAIT = "FALSE";
2516 output CLKFBOUT;
2517 output CLKFBOUTB;
2518 output CLKFBSTOPPED;
2519 output CLKINSTOPPED;
2520 output CLKOUT0;
2521 output CLKOUT0B;
2522 output CLKOUT1;
2523 output CLKOUT1B;
2524 output CLKOUT2;
2525 output CLKOUT2B;
2526 output CLKOUT3;
2527 output CLKOUT3B;
2528 output CLKOUT4;
2529 output CLKOUT5;
2530 output CLKOUT6;
2531 output [15:0] DO;
2532 output DRDY;
2533 output LOCKED;
2534 output PSDONE;
2535 input CLKFBIN;
2536 input CLKIN1;
2537 input CLKIN2;
2538 input CLKINSEL;
2539 input [6:0] DADDR;
2540 input DCLK;
2541 input DEN;
2542 input [15:0] DI;
2543 input DWE;
2544 input PSCLK;
2545 input PSEN;
2546 input PSINCDEC;
2547 input PWRDWN;
2548 input RST;
2549 endmodule
2550
2551 module MMCME2_BASE (...);
2552 parameter BANDWIDTH = "OPTIMIZED";
2553 parameter real CLKFBOUT_MULT_F = 5.000;
2554 parameter real CLKFBOUT_PHASE = 0.000;
2555 parameter real CLKIN1_PERIOD = 0.000;
2556 parameter real CLKOUT0_DIVIDE_F = 1.000;
2557 parameter real CLKOUT0_DUTY_CYCLE = 0.500;
2558 parameter real CLKOUT0_PHASE = 0.000;
2559 parameter integer CLKOUT1_DIVIDE = 1;
2560 parameter real CLKOUT1_DUTY_CYCLE = 0.500;
2561 parameter real CLKOUT1_PHASE = 0.000;
2562 parameter integer CLKOUT2_DIVIDE = 1;
2563 parameter real CLKOUT2_DUTY_CYCLE = 0.500;
2564 parameter real CLKOUT2_PHASE = 0.000;
2565 parameter integer CLKOUT3_DIVIDE = 1;
2566 parameter real CLKOUT3_DUTY_CYCLE = 0.500;
2567 parameter real CLKOUT3_PHASE = 0.000;
2568 parameter CLKOUT4_CASCADE = "FALSE";
2569 parameter integer CLKOUT4_DIVIDE = 1;
2570 parameter real CLKOUT4_DUTY_CYCLE = 0.500;
2571 parameter real CLKOUT4_PHASE = 0.000;
2572 parameter integer CLKOUT5_DIVIDE = 1;
2573 parameter real CLKOUT5_DUTY_CYCLE = 0.500;
2574 parameter real CLKOUT5_PHASE = 0.000;
2575 parameter integer CLKOUT6_DIVIDE = 1;
2576 parameter real CLKOUT6_DUTY_CYCLE = 0.500;
2577 parameter real CLKOUT6_PHASE = 0.000;
2578 parameter integer DIVCLK_DIVIDE = 1;
2579 parameter real REF_JITTER1 = 0.010;
2580 parameter STARTUP_WAIT = "FALSE";
2581 output CLKFBOUT;
2582 output CLKFBOUTB;
2583 output CLKOUT0;
2584 output CLKOUT0B;
2585 output CLKOUT1;
2586 output CLKOUT1B;
2587 output CLKOUT2;
2588 output CLKOUT2B;
2589 output CLKOUT3;
2590 output CLKOUT3B;
2591 output CLKOUT4;
2592 output CLKOUT5;
2593 output CLKOUT6;
2594 output LOCKED;
2595 input CLKFBIN;
2596 input CLKIN1;
2597 input PWRDWN;
2598 input RST;
2599 endmodule
2600
2601 (* iopad_external_pin = "O,OB" *)
2602 module OBUFDS (...);
2603 parameter CAPACITANCE = "DONT_CARE";
2604 parameter IOSTANDARD = "DEFAULT";
2605 parameter SLEW = "SLOW";
2606 output O, OB;
2607 input I;
2608 endmodule
2609
2610 (* iopad_external_pin = "O" *)
2611 module OBUFT (...);
2612 parameter CAPACITANCE = "DONT_CARE";
2613 parameter integer DRIVE = 12;
2614 parameter IOSTANDARD = "DEFAULT";
2615 parameter SLEW = "SLOW";
2616 output O;
2617 input I, T;
2618 endmodule
2619
2620 (* iopad_external_pin = "O,OB" *)
2621 module OBUFTDS (...);
2622 parameter CAPACITANCE = "DONT_CARE";
2623 parameter IOSTANDARD = "DEFAULT";
2624 parameter SLEW = "SLOW";
2625 output O, OB;
2626 input I, T;
2627 endmodule
2628
2629 (* clkbuf_sink = "C" *)
2630 module ODDR (...);
2631 output Q;
2632 input C;
2633 input CE;
2634 input D1;
2635 input D2;
2636 input R;
2637 input S;
2638 parameter DDR_CLK_EDGE = "OPPOSITE_EDGE";
2639 parameter INIT = 1'b0;
2640 parameter [0:0] IS_C_INVERTED = 1'b0;
2641 parameter [0:0] IS_D1_INVERTED = 1'b0;
2642 parameter [0:0] IS_D2_INVERTED = 1'b0;
2643 parameter SRTYPE = "SYNC";
2644 parameter MSGON = "TRUE";
2645 parameter XON = "TRUE";
2646 endmodule
2647
2648 (* clkbuf_sink = "C" *)
2649 module ODELAYE2 (...);
2650 parameter CINVCTRL_SEL = "FALSE";
2651 parameter DELAY_SRC = "ODATAIN";
2652 parameter HIGH_PERFORMANCE_MODE = "FALSE";
2653 parameter [0:0] IS_C_INVERTED = 1'b0;
2654 parameter [0:0] IS_ODATAIN_INVERTED = 1'b0;
2655 parameter ODELAY_TYPE = "FIXED";
2656 parameter integer ODELAY_VALUE = 0;
2657 parameter PIPE_SEL = "FALSE";
2658 parameter real REFCLK_FREQUENCY = 200.0;
2659 parameter SIGNAL_PATTERN = "DATA";
2660 parameter integer SIM_DELAY_D = 0;
2661 output [4:0] CNTVALUEOUT;
2662 output DATAOUT;
2663 input C;
2664 input CE;
2665 input CINVCTRL;
2666 input CLKIN;
2667 input [4:0] CNTVALUEIN;
2668 input INC;
2669 input LD;
2670 input LDPIPEEN;
2671 input ODATAIN;
2672 input REGRST;
2673 endmodule
2674
2675 (* clkbuf_sink = "CLK,CLKDIV" *)
2676 module OSERDESE2 (...);
2677 parameter DATA_RATE_OQ = "DDR";
2678 parameter DATA_RATE_TQ = "DDR";
2679 parameter integer DATA_WIDTH = 4;
2680 parameter [0:0] INIT_OQ = 1'b0;
2681 parameter [0:0] INIT_TQ = 1'b0;
2682 parameter [0:0] IS_CLKDIV_INVERTED = 1'b0;
2683 parameter [0:0] IS_CLK_INVERTED = 1'b0;
2684 parameter [0:0] IS_D1_INVERTED = 1'b0;
2685 parameter [0:0] IS_D2_INVERTED = 1'b0;
2686 parameter [0:0] IS_D3_INVERTED = 1'b0;
2687 parameter [0:0] IS_D4_INVERTED = 1'b0;
2688 parameter [0:0] IS_D5_INVERTED = 1'b0;
2689 parameter [0:0] IS_D6_INVERTED = 1'b0;
2690 parameter [0:0] IS_D7_INVERTED = 1'b0;
2691 parameter [0:0] IS_D8_INVERTED = 1'b0;
2692 parameter [0:0] IS_T1_INVERTED = 1'b0;
2693 parameter [0:0] IS_T2_INVERTED = 1'b0;
2694 parameter [0:0] IS_T3_INVERTED = 1'b0;
2695 parameter [0:0] IS_T4_INVERTED = 1'b0;
2696 parameter SERDES_MODE = "MASTER";
2697 parameter [0:0] SRVAL_OQ = 1'b0;
2698 parameter [0:0] SRVAL_TQ = 1'b0;
2699 parameter TBYTE_CTL = "FALSE";
2700 parameter TBYTE_SRC = "FALSE";
2701 parameter integer TRISTATE_WIDTH = 4;
2702 output OFB;
2703 output OQ;
2704 output SHIFTOUT1;
2705 output SHIFTOUT2;
2706 output TBYTEOUT;
2707 output TFB;
2708 output TQ;
2709 input CLK;
2710 input CLKDIV;
2711 input D1;
2712 input D2;
2713 input D3;
2714 input D4;
2715 input D5;
2716 input D6;
2717 input D7;
2718 input D8;
2719 input OCE;
2720 input RST;
2721 input SHIFTIN1;
2722 input SHIFTIN2;
2723 input T1;
2724 input T2;
2725 input T3;
2726 input T4;
2727 input TBYTEIN;
2728 input TCE;
2729 endmodule
2730
2731 (* clkbuf_sink = "RDCLK,WRCLK" *)
2732 module OUT_FIFO (...);
2733 parameter integer ALMOST_EMPTY_VALUE = 1;
2734 parameter integer ALMOST_FULL_VALUE = 1;
2735 parameter ARRAY_MODE = "ARRAY_MODE_8_X_4";
2736 parameter OUTPUT_DISABLE = "FALSE";
2737 parameter SYNCHRONOUS_MODE = "FALSE";
2738 output ALMOSTEMPTY;
2739 output ALMOSTFULL;
2740 output EMPTY;
2741 output FULL;
2742 output [3:0] Q0;
2743 output [3:0] Q1;
2744 output [3:0] Q2;
2745 output [3:0] Q3;
2746 output [3:0] Q4;
2747 output [3:0] Q7;
2748 output [3:0] Q8;
2749 output [3:0] Q9;
2750 output [7:0] Q5;
2751 output [7:0] Q6;
2752 input RDCLK;
2753 input RDEN;
2754 input RESET;
2755 input WRCLK;
2756 input WREN;
2757 input [7:0] D0;
2758 input [7:0] D1;
2759 input [7:0] D2;
2760 input [7:0] D3;
2761 input [7:0] D4;
2762 input [7:0] D5;
2763 input [7:0] D6;
2764 input [7:0] D7;
2765 input [7:0] D8;
2766 input [7:0] D9;
2767 endmodule
2768
2769 module PHASER_IN (...);
2770 parameter integer CLKOUT_DIV = 4;
2771 parameter DQS_BIAS_MODE = "FALSE";
2772 parameter EN_ISERDES_RST = "FALSE";
2773 parameter integer FINE_DELAY = 0;
2774 parameter FREQ_REF_DIV = "NONE";
2775 parameter [0:0] IS_RST_INVERTED = 1'b0;
2776 parameter real MEMREFCLK_PERIOD = 0.000;
2777 parameter OUTPUT_CLK_SRC = "PHASE_REF";
2778 parameter real PHASEREFCLK_PERIOD = 0.000;
2779 parameter real REFCLK_PERIOD = 0.000;
2780 parameter integer SEL_CLK_OFFSET = 5;
2781 parameter SYNC_IN_DIV_RST = "FALSE";
2782 output FINEOVERFLOW;
2783 output ICLK;
2784 output ICLKDIV;
2785 output ISERDESRST;
2786 output RCLK;
2787 output [5:0] COUNTERREADVAL;
2788 input COUNTERLOADEN;
2789 input COUNTERREADEN;
2790 input DIVIDERST;
2791 input EDGEADV;
2792 input FINEENABLE;
2793 input FINEINC;
2794 input FREQREFCLK;
2795 input MEMREFCLK;
2796 input PHASEREFCLK;
2797 input RST;
2798 input SYNCIN;
2799 input SYSCLK;
2800 input [1:0] RANKSEL;
2801 input [5:0] COUNTERLOADVAL;
2802 endmodule
2803
2804 module PHASER_IN_PHY (...);
2805 parameter BURST_MODE = "FALSE";
2806 parameter integer CLKOUT_DIV = 4;
2807 parameter [0:0] DQS_AUTO_RECAL = 1'b1;
2808 parameter DQS_BIAS_MODE = "FALSE";
2809 parameter [2:0] DQS_FIND_PATTERN = 3'b001;
2810 parameter integer FINE_DELAY = 0;
2811 parameter FREQ_REF_DIV = "NONE";
2812 parameter [0:0] IS_RST_INVERTED = 1'b0;
2813 parameter real MEMREFCLK_PERIOD = 0.000;
2814 parameter OUTPUT_CLK_SRC = "PHASE_REF";
2815 parameter real PHASEREFCLK_PERIOD = 0.000;
2816 parameter real REFCLK_PERIOD = 0.000;
2817 parameter integer SEL_CLK_OFFSET = 5;
2818 parameter SYNC_IN_DIV_RST = "FALSE";
2819 parameter WR_CYCLES = "FALSE";
2820 output DQSFOUND;
2821 output DQSOUTOFRANGE;
2822 output FINEOVERFLOW;
2823 output ICLK;
2824 output ICLKDIV;
2825 output ISERDESRST;
2826 output PHASELOCKED;
2827 output RCLK;
2828 output WRENABLE;
2829 output [5:0] COUNTERREADVAL;
2830 input BURSTPENDINGPHY;
2831 input COUNTERLOADEN;
2832 input COUNTERREADEN;
2833 input FINEENABLE;
2834 input FINEINC;
2835 input FREQREFCLK;
2836 input MEMREFCLK;
2837 input PHASEREFCLK;
2838 input RST;
2839 input RSTDQSFIND;
2840 input SYNCIN;
2841 input SYSCLK;
2842 input [1:0] ENCALIBPHY;
2843 input [1:0] RANKSELPHY;
2844 input [5:0] COUNTERLOADVAL;
2845 endmodule
2846
2847 module PHASER_OUT (...);
2848 parameter integer CLKOUT_DIV = 4;
2849 parameter COARSE_BYPASS = "FALSE";
2850 parameter integer COARSE_DELAY = 0;
2851 parameter EN_OSERDES_RST = "FALSE";
2852 parameter integer FINE_DELAY = 0;
2853 parameter [0:0] IS_RST_INVERTED = 1'b0;
2854 parameter real MEMREFCLK_PERIOD = 0.000;
2855 parameter OCLKDELAY_INV = "FALSE";
2856 parameter integer OCLK_DELAY = 0;
2857 parameter OUTPUT_CLK_SRC = "PHASE_REF";
2858 parameter real PHASEREFCLK_PERIOD = 0.000;
2859 parameter [2:0] PO = 3'b000;
2860 parameter real REFCLK_PERIOD = 0.000;
2861 parameter SYNC_IN_DIV_RST = "FALSE";
2862 output COARSEOVERFLOW;
2863 output FINEOVERFLOW;
2864 output OCLK;
2865 output OCLKDELAYED;
2866 output OCLKDIV;
2867 output OSERDESRST;
2868 output [8:0] COUNTERREADVAL;
2869 input COARSEENABLE;
2870 input COARSEINC;
2871 input COUNTERLOADEN;
2872 input COUNTERREADEN;
2873 input DIVIDERST;
2874 input EDGEADV;
2875 input FINEENABLE;
2876 input FINEINC;
2877 input FREQREFCLK;
2878 input MEMREFCLK;
2879 input PHASEREFCLK;
2880 input RST;
2881 input SELFINEOCLKDELAY;
2882 input SYNCIN;
2883 input SYSCLK;
2884 input [8:0] COUNTERLOADVAL;
2885 endmodule
2886
2887 module PHASER_OUT_PHY (...);
2888 parameter integer CLKOUT_DIV = 4;
2889 parameter COARSE_BYPASS = "FALSE";
2890 parameter integer COARSE_DELAY = 0;
2891 parameter DATA_CTL_N = "FALSE";
2892 parameter DATA_RD_CYCLES = "FALSE";
2893 parameter integer FINE_DELAY = 0;
2894 parameter [0:0] IS_RST_INVERTED = 1'b0;
2895 parameter real MEMREFCLK_PERIOD = 0.000;
2896 parameter OCLKDELAY_INV = "FALSE";
2897 parameter integer OCLK_DELAY = 0;
2898 parameter OUTPUT_CLK_SRC = "PHASE_REF";
2899 parameter real PHASEREFCLK_PERIOD = 0.000;
2900 parameter [2:0] PO = 3'b000;
2901 parameter real REFCLK_PERIOD = 0.000;
2902 parameter SYNC_IN_DIV_RST = "FALSE";
2903 output COARSEOVERFLOW;
2904 output FINEOVERFLOW;
2905 output OCLK;
2906 output OCLKDELAYED;
2907 output OCLKDIV;
2908 output OSERDESRST;
2909 output RDENABLE;
2910 output [1:0] CTSBUS;
2911 output [1:0] DQSBUS;
2912 output [1:0] DTSBUS;
2913 output [8:0] COUNTERREADVAL;
2914 input BURSTPENDINGPHY;
2915 input COARSEENABLE;
2916 input COARSEINC;
2917 input COUNTERLOADEN;
2918 input COUNTERREADEN;
2919 input FINEENABLE;
2920 input FINEINC;
2921 input FREQREFCLK;
2922 input MEMREFCLK;
2923 input PHASEREFCLK;
2924 input RST;
2925 input SELFINEOCLKDELAY;
2926 input SYNCIN;
2927 input SYSCLK;
2928 input [1:0] ENCALIBPHY;
2929 input [8:0] COUNTERLOADVAL;
2930 endmodule
2931
2932 module PHASER_REF (...);
2933 parameter [0:0] IS_RST_INVERTED = 1'b0;
2934 parameter [0:0] IS_PWRDWN_INVERTED = 1'b0;
2935 output LOCKED;
2936 input CLKIN;
2937 input PWRDWN;
2938 input RST;
2939 endmodule
2940
2941 module PHY_CONTROL (...);
2942 parameter integer AO_TOGGLE = 0;
2943 parameter [3:0] AO_WRLVL_EN = 4'b0000;
2944 parameter BURST_MODE = "FALSE";
2945 parameter integer CLK_RATIO = 1;
2946 parameter integer CMD_OFFSET = 0;
2947 parameter integer CO_DURATION = 0;
2948 parameter DATA_CTL_A_N = "FALSE";
2949 parameter DATA_CTL_B_N = "FALSE";
2950 parameter DATA_CTL_C_N = "FALSE";
2951 parameter DATA_CTL_D_N = "FALSE";
2952 parameter DISABLE_SEQ_MATCH = "TRUE";
2953 parameter integer DI_DURATION = 0;
2954 parameter integer DO_DURATION = 0;
2955 parameter integer EVENTS_DELAY = 63;
2956 parameter integer FOUR_WINDOW_CLOCKS = 63;
2957 parameter MULTI_REGION = "FALSE";
2958 parameter PHY_COUNT_ENABLE = "FALSE";
2959 parameter integer RD_CMD_OFFSET_0 = 0;
2960 parameter integer RD_CMD_OFFSET_1 = 00;
2961 parameter integer RD_CMD_OFFSET_2 = 0;
2962 parameter integer RD_CMD_OFFSET_3 = 0;
2963 parameter integer RD_DURATION_0 = 0;
2964 parameter integer RD_DURATION_1 = 0;
2965 parameter integer RD_DURATION_2 = 0;
2966 parameter integer RD_DURATION_3 = 0;
2967 parameter SYNC_MODE = "FALSE";
2968 parameter integer WR_CMD_OFFSET_0 = 0;
2969 parameter integer WR_CMD_OFFSET_1 = 0;
2970 parameter integer WR_CMD_OFFSET_2 = 0;
2971 parameter integer WR_CMD_OFFSET_3 = 0;
2972 parameter integer WR_DURATION_0 = 0;
2973 parameter integer WR_DURATION_1 = 0;
2974 parameter integer WR_DURATION_2 = 0;
2975 parameter integer WR_DURATION_3 = 0;
2976 output PHYCTLALMOSTFULL;
2977 output PHYCTLEMPTY;
2978 output PHYCTLFULL;
2979 output PHYCTLREADY;
2980 output [1:0] INRANKA;
2981 output [1:0] INRANKB;
2982 output [1:0] INRANKC;
2983 output [1:0] INRANKD;
2984 output [1:0] PCENABLECALIB;
2985 output [3:0] AUXOUTPUT;
2986 output [3:0] INBURSTPENDING;
2987 output [3:0] OUTBURSTPENDING;
2988 input MEMREFCLK;
2989 input PHYCLK;
2990 input PHYCTLMSTREMPTY;
2991 input PHYCTLWRENABLE;
2992 input PLLLOCK;
2993 input READCALIBENABLE;
2994 input REFDLLLOCK;
2995 input RESET;
2996 input SYNCIN;
2997 input WRITECALIBENABLE;
2998 input [31:0] PHYCTLWD;
2999 endmodule
3000
3001 module PLLE2_ADV (...);
3002 parameter BANDWIDTH = "OPTIMIZED";
3003 parameter COMPENSATION = "ZHOLD";
3004 parameter STARTUP_WAIT = "FALSE";
3005 parameter integer CLKOUT0_DIVIDE = 1;
3006 parameter integer CLKOUT1_DIVIDE = 1;
3007 parameter integer CLKOUT2_DIVIDE = 1;
3008 parameter integer CLKOUT3_DIVIDE = 1;
3009 parameter integer CLKOUT4_DIVIDE = 1;
3010 parameter integer CLKOUT5_DIVIDE = 1;
3011 parameter integer DIVCLK_DIVIDE = 1;
3012 parameter integer CLKFBOUT_MULT = 5;
3013 parameter real CLKFBOUT_PHASE = 0.000;
3014 parameter real CLKIN1_PERIOD = 0.000;
3015 parameter real CLKIN2_PERIOD = 0.000;
3016 parameter real CLKOUT0_DUTY_CYCLE = 0.500;
3017 parameter real CLKOUT0_PHASE = 0.000;
3018 parameter real CLKOUT1_DUTY_CYCLE = 0.500;
3019 parameter real CLKOUT1_PHASE = 0.000;
3020 parameter real CLKOUT2_DUTY_CYCLE = 0.500;
3021 parameter real CLKOUT2_PHASE = 0.000;
3022 parameter real CLKOUT3_DUTY_CYCLE = 0.500;
3023 parameter real CLKOUT3_PHASE = 0.000;
3024 parameter real CLKOUT4_DUTY_CYCLE = 0.500;
3025 parameter real CLKOUT4_PHASE = 0.000;
3026 parameter real CLKOUT5_DUTY_CYCLE = 0.500;
3027 parameter real CLKOUT5_PHASE = 0.000;
3028 parameter [0:0] IS_CLKINSEL_INVERTED = 1'b0;
3029 parameter [0:0] IS_PWRDWN_INVERTED = 1'b0;
3030 parameter [0:0] IS_RST_INVERTED = 1'b0;
3031 parameter real REF_JITTER1 = 0.010;
3032 parameter real REF_JITTER2 = 0.010;
3033 parameter real VCOCLK_FREQ_MAX = 2133.000;
3034 parameter real VCOCLK_FREQ_MIN = 800.000;
3035 parameter real CLKIN_FREQ_MAX = 1066.000;
3036 parameter real CLKIN_FREQ_MIN = 19.000;
3037 parameter real CLKPFD_FREQ_MAX = 550.0;
3038 parameter real CLKPFD_FREQ_MIN = 19.0;
3039 output CLKFBOUT;
3040 output CLKOUT0;
3041 output CLKOUT1;
3042 output CLKOUT2;
3043 output CLKOUT3;
3044 output CLKOUT4;
3045 output CLKOUT5;
3046 output DRDY;
3047 output LOCKED;
3048 output [15:0] DO;
3049 input CLKFBIN;
3050 input CLKIN1;
3051 input CLKIN2;
3052 input CLKINSEL;
3053 input DCLK;
3054 input DEN;
3055 input DWE;
3056 input PWRDWN;
3057 input RST;
3058 input [15:0] DI;
3059 input [6:0] DADDR;
3060 endmodule
3061
3062 module PLLE2_BASE (...);
3063 parameter BANDWIDTH = "OPTIMIZED";
3064 parameter integer CLKFBOUT_MULT = 5;
3065 parameter real CLKFBOUT_PHASE = 0.000;
3066 parameter real CLKIN1_PERIOD = 0.000;
3067 parameter integer CLKOUT0_DIVIDE = 1;
3068 parameter real CLKOUT0_DUTY_CYCLE = 0.500;
3069 parameter real CLKOUT0_PHASE = 0.000;
3070 parameter integer CLKOUT1_DIVIDE = 1;
3071 parameter real CLKOUT1_DUTY_CYCLE = 0.500;
3072 parameter real CLKOUT1_PHASE = 0.000;
3073 parameter integer CLKOUT2_DIVIDE = 1;
3074 parameter real CLKOUT2_DUTY_CYCLE = 0.500;
3075 parameter real CLKOUT2_PHASE = 0.000;
3076 parameter integer CLKOUT3_DIVIDE = 1;
3077 parameter real CLKOUT3_DUTY_CYCLE = 0.500;
3078 parameter real CLKOUT3_PHASE = 0.000;
3079 parameter integer CLKOUT4_DIVIDE = 1;
3080 parameter real CLKOUT4_DUTY_CYCLE = 0.500;
3081 parameter real CLKOUT4_PHASE = 0.000;
3082 parameter integer CLKOUT5_DIVIDE = 1;
3083 parameter real CLKOUT5_DUTY_CYCLE = 0.500;
3084 parameter real CLKOUT5_PHASE = 0.000;
3085 parameter integer DIVCLK_DIVIDE = 1;
3086 parameter real REF_JITTER1 = 0.010;
3087 parameter STARTUP_WAIT = "FALSE";
3088 output CLKFBOUT;
3089 output CLKOUT0;
3090 output CLKOUT1;
3091 output CLKOUT2;
3092 output CLKOUT3;
3093 output CLKOUT4;
3094 output CLKOUT5;
3095 output LOCKED;
3096 input CLKFBIN;
3097 input CLKIN1;
3098 input PWRDWN;
3099 input RST;
3100 endmodule
3101
3102 (* keep *)
3103 module PS7 (...);
3104 output DMA0DAVALID;
3105 output DMA0DRREADY;
3106 output DMA0RSTN;
3107 output DMA1DAVALID;
3108 output DMA1DRREADY;
3109 output DMA1RSTN;
3110 output DMA2DAVALID;
3111 output DMA2DRREADY;
3112 output DMA2RSTN;
3113 output DMA3DAVALID;
3114 output DMA3DRREADY;
3115 output DMA3RSTN;
3116 output EMIOCAN0PHYTX;
3117 output EMIOCAN1PHYTX;
3118 output EMIOENET0GMIITXEN;
3119 output EMIOENET0GMIITXER;
3120 output EMIOENET0MDIOMDC;
3121 output EMIOENET0MDIOO;
3122 output EMIOENET0MDIOTN;
3123 output EMIOENET0PTPDELAYREQRX;
3124 output EMIOENET0PTPDELAYREQTX;
3125 output EMIOENET0PTPPDELAYREQRX;
3126 output EMIOENET0PTPPDELAYREQTX;
3127 output EMIOENET0PTPPDELAYRESPRX;
3128 output EMIOENET0PTPPDELAYRESPTX;
3129 output EMIOENET0PTPSYNCFRAMERX;
3130 output EMIOENET0PTPSYNCFRAMETX;
3131 output EMIOENET0SOFRX;
3132 output EMIOENET0SOFTX;
3133 output EMIOENET1GMIITXEN;
3134 output EMIOENET1GMIITXER;
3135 output EMIOENET1MDIOMDC;
3136 output EMIOENET1MDIOO;
3137 output EMIOENET1MDIOTN;
3138 output EMIOENET1PTPDELAYREQRX;
3139 output EMIOENET1PTPDELAYREQTX;
3140 output EMIOENET1PTPPDELAYREQRX;
3141 output EMIOENET1PTPPDELAYREQTX;
3142 output EMIOENET1PTPPDELAYRESPRX;
3143 output EMIOENET1PTPPDELAYRESPTX;
3144 output EMIOENET1PTPSYNCFRAMERX;
3145 output EMIOENET1PTPSYNCFRAMETX;
3146 output EMIOENET1SOFRX;
3147 output EMIOENET1SOFTX;
3148 output EMIOI2C0SCLO;
3149 output EMIOI2C0SCLTN;
3150 output EMIOI2C0SDAO;
3151 output EMIOI2C0SDATN;
3152 output EMIOI2C1SCLO;
3153 output EMIOI2C1SCLTN;
3154 output EMIOI2C1SDAO;
3155 output EMIOI2C1SDATN;
3156 output EMIOPJTAGTDO;
3157 output EMIOPJTAGTDTN;
3158 output EMIOSDIO0BUSPOW;
3159 output EMIOSDIO0CLK;
3160 output EMIOSDIO0CMDO;
3161 output EMIOSDIO0CMDTN;
3162 output EMIOSDIO0LED;
3163 output EMIOSDIO1BUSPOW;
3164 output EMIOSDIO1CLK;
3165 output EMIOSDIO1CMDO;
3166 output EMIOSDIO1CMDTN;
3167 output EMIOSDIO1LED;
3168 output EMIOSPI0MO;
3169 output EMIOSPI0MOTN;
3170 output EMIOSPI0SCLKO;
3171 output EMIOSPI0SCLKTN;
3172 output EMIOSPI0SO;
3173 output EMIOSPI0SSNTN;
3174 output EMIOSPI0STN;
3175 output EMIOSPI1MO;
3176 output EMIOSPI1MOTN;
3177 output EMIOSPI1SCLKO;
3178 output EMIOSPI1SCLKTN;
3179 output EMIOSPI1SO;
3180 output EMIOSPI1SSNTN;
3181 output EMIOSPI1STN;
3182 output EMIOTRACECTL;
3183 output EMIOUART0DTRN;
3184 output EMIOUART0RTSN;
3185 output EMIOUART0TX;
3186 output EMIOUART1DTRN;
3187 output EMIOUART1RTSN;
3188 output EMIOUART1TX;
3189 output EMIOUSB0VBUSPWRSELECT;
3190 output EMIOUSB1VBUSPWRSELECT;
3191 output EMIOWDTRSTO;
3192 output EVENTEVENTO;
3193 output MAXIGP0ARESETN;
3194 output MAXIGP0ARVALID;
3195 output MAXIGP0AWVALID;
3196 output MAXIGP0BREADY;
3197 output MAXIGP0RREADY;
3198 output MAXIGP0WLAST;
3199 output MAXIGP0WVALID;
3200 output MAXIGP1ARESETN;
3201 output MAXIGP1ARVALID;
3202 output MAXIGP1AWVALID;
3203 output MAXIGP1BREADY;
3204 output MAXIGP1RREADY;
3205 output MAXIGP1WLAST;
3206 output MAXIGP1WVALID;
3207 output SAXIACPARESETN;
3208 output SAXIACPARREADY;
3209 output SAXIACPAWREADY;
3210 output SAXIACPBVALID;
3211 output SAXIACPRLAST;
3212 output SAXIACPRVALID;
3213 output SAXIACPWREADY;
3214 output SAXIGP0ARESETN;
3215 output SAXIGP0ARREADY;
3216 output SAXIGP0AWREADY;
3217 output SAXIGP0BVALID;
3218 output SAXIGP0RLAST;
3219 output SAXIGP0RVALID;
3220 output SAXIGP0WREADY;
3221 output SAXIGP1ARESETN;
3222 output SAXIGP1ARREADY;
3223 output SAXIGP1AWREADY;
3224 output SAXIGP1BVALID;
3225 output SAXIGP1RLAST;
3226 output SAXIGP1RVALID;
3227 output SAXIGP1WREADY;
3228 output SAXIHP0ARESETN;
3229 output SAXIHP0ARREADY;
3230 output SAXIHP0AWREADY;
3231 output SAXIHP0BVALID;
3232 output SAXIHP0RLAST;
3233 output SAXIHP0RVALID;
3234 output SAXIHP0WREADY;
3235 output SAXIHP1ARESETN;
3236 output SAXIHP1ARREADY;
3237 output SAXIHP1AWREADY;
3238 output SAXIHP1BVALID;
3239 output SAXIHP1RLAST;
3240 output SAXIHP1RVALID;
3241 output SAXIHP1WREADY;
3242 output SAXIHP2ARESETN;
3243 output SAXIHP2ARREADY;
3244 output SAXIHP2AWREADY;
3245 output SAXIHP2BVALID;
3246 output SAXIHP2RLAST;
3247 output SAXIHP2RVALID;
3248 output SAXIHP2WREADY;
3249 output SAXIHP3ARESETN;
3250 output SAXIHP3ARREADY;
3251 output SAXIHP3AWREADY;
3252 output SAXIHP3BVALID;
3253 output SAXIHP3RLAST;
3254 output SAXIHP3RVALID;
3255 output SAXIHP3WREADY;
3256 output [11:0] MAXIGP0ARID;
3257 output [11:0] MAXIGP0AWID;
3258 output [11:0] MAXIGP0WID;
3259 output [11:0] MAXIGP1ARID;
3260 output [11:0] MAXIGP1AWID;
3261 output [11:0] MAXIGP1WID;
3262 output [1:0] DMA0DATYPE;
3263 output [1:0] DMA1DATYPE;
3264 output [1:0] DMA2DATYPE;
3265 output [1:0] DMA3DATYPE;
3266 output [1:0] EMIOUSB0PORTINDCTL;
3267 output [1:0] EMIOUSB1PORTINDCTL;
3268 output [1:0] EVENTSTANDBYWFE;
3269 output [1:0] EVENTSTANDBYWFI;
3270 output [1:0] MAXIGP0ARBURST;
3271 output [1:0] MAXIGP0ARLOCK;
3272 output [1:0] MAXIGP0ARSIZE;
3273 output [1:0] MAXIGP0AWBURST;
3274 output [1:0] MAXIGP0AWLOCK;
3275 output [1:0] MAXIGP0AWSIZE;
3276 output [1:0] MAXIGP1ARBURST;
3277 output [1:0] MAXIGP1ARLOCK;
3278 output [1:0] MAXIGP1ARSIZE;
3279 output [1:0] MAXIGP1AWBURST;
3280 output [1:0] MAXIGP1AWLOCK;
3281 output [1:0] MAXIGP1AWSIZE;
3282 output [1:0] SAXIACPBRESP;
3283 output [1:0] SAXIACPRRESP;
3284 output [1:0] SAXIGP0BRESP;
3285 output [1:0] SAXIGP0RRESP;
3286 output [1:0] SAXIGP1BRESP;
3287 output [1:0] SAXIGP1RRESP;
3288 output [1:0] SAXIHP0BRESP;
3289 output [1:0] SAXIHP0RRESP;
3290 output [1:0] SAXIHP1BRESP;
3291 output [1:0] SAXIHP1RRESP;
3292 output [1:0] SAXIHP2BRESP;
3293 output [1:0] SAXIHP2RRESP;
3294 output [1:0] SAXIHP3BRESP;
3295 output [1:0] SAXIHP3RRESP;
3296 output [28:0] IRQP2F;
3297 output [2:0] EMIOSDIO0BUSVOLT;
3298 output [2:0] EMIOSDIO1BUSVOLT;
3299 output [2:0] EMIOSPI0SSON;
3300 output [2:0] EMIOSPI1SSON;
3301 output [2:0] EMIOTTC0WAVEO;
3302 output [2:0] EMIOTTC1WAVEO;
3303 output [2:0] MAXIGP0ARPROT;
3304 output [2:0] MAXIGP0AWPROT;
3305 output [2:0] MAXIGP1ARPROT;
3306 output [2:0] MAXIGP1AWPROT;
3307 output [2:0] SAXIACPBID;
3308 output [2:0] SAXIACPRID;
3309 output [2:0] SAXIHP0RACOUNT;
3310 output [2:0] SAXIHP1RACOUNT;
3311 output [2:0] SAXIHP2RACOUNT;
3312 output [2:0] SAXIHP3RACOUNT;
3313 output [31:0] EMIOTRACEDATA;
3314 output [31:0] FTMTP2FDEBUG;
3315 output [31:0] MAXIGP0ARADDR;
3316 output [31:0] MAXIGP0AWADDR;
3317 output [31:0] MAXIGP0WDATA;
3318 output [31:0] MAXIGP1ARADDR;
3319 output [31:0] MAXIGP1AWADDR;
3320 output [31:0] MAXIGP1WDATA;
3321 output [31:0] SAXIGP0RDATA;
3322 output [31:0] SAXIGP1RDATA;
3323 output [3:0] EMIOSDIO0DATAO;
3324 output [3:0] EMIOSDIO0DATATN;
3325 output [3:0] EMIOSDIO1DATAO;
3326 output [3:0] EMIOSDIO1DATATN;
3327 output [3:0] FCLKCLK;
3328 output [3:0] FCLKRESETN;
3329 output [3:0] FTMTF2PTRIGACK;
3330 output [3:0] FTMTP2FTRIG;
3331 output [3:0] MAXIGP0ARCACHE;
3332 output [3:0] MAXIGP0ARLEN;
3333 output [3:0] MAXIGP0ARQOS;
3334 output [3:0] MAXIGP0AWCACHE;
3335 output [3:0] MAXIGP0AWLEN;
3336 output [3:0] MAXIGP0AWQOS;
3337 output [3:0] MAXIGP0WSTRB;
3338 output [3:0] MAXIGP1ARCACHE;
3339 output [3:0] MAXIGP1ARLEN;
3340 output [3:0] MAXIGP1ARQOS;
3341 output [3:0] MAXIGP1AWCACHE;
3342 output [3:0] MAXIGP1AWLEN;
3343 output [3:0] MAXIGP1AWQOS;
3344 output [3:0] MAXIGP1WSTRB;
3345 output [5:0] SAXIGP0BID;
3346 output [5:0] SAXIGP0RID;
3347 output [5:0] SAXIGP1BID;
3348 output [5:0] SAXIGP1RID;
3349 output [5:0] SAXIHP0BID;
3350 output [5:0] SAXIHP0RID;
3351 output [5:0] SAXIHP0WACOUNT;
3352 output [5:0] SAXIHP1BID;
3353 output [5:0] SAXIHP1RID;
3354 output [5:0] SAXIHP1WACOUNT;
3355 output [5:0] SAXIHP2BID;
3356 output [5:0] SAXIHP2RID;
3357 output [5:0] SAXIHP2WACOUNT;
3358 output [5:0] SAXIHP3BID;
3359 output [5:0] SAXIHP3RID;
3360 output [5:0] SAXIHP3WACOUNT;
3361 output [63:0] EMIOGPIOO;
3362 output [63:0] EMIOGPIOTN;
3363 output [63:0] SAXIACPRDATA;
3364 output [63:0] SAXIHP0RDATA;
3365 output [63:0] SAXIHP1RDATA;
3366 output [63:0] SAXIHP2RDATA;
3367 output [63:0] SAXIHP3RDATA;
3368 output [7:0] EMIOENET0GMIITXD;
3369 output [7:0] EMIOENET1GMIITXD;
3370 output [7:0] SAXIHP0RCOUNT;
3371 output [7:0] SAXIHP0WCOUNT;
3372 output [7:0] SAXIHP1RCOUNT;
3373 output [7:0] SAXIHP1WCOUNT;
3374 output [7:0] SAXIHP2RCOUNT;
3375 output [7:0] SAXIHP2WCOUNT;
3376 output [7:0] SAXIHP3RCOUNT;
3377 output [7:0] SAXIHP3WCOUNT;
3378 inout DDRCASB;
3379 inout DDRCKE;
3380 inout DDRCKN;
3381 inout DDRCKP;
3382 inout DDRCSB;
3383 inout DDRDRSTB;
3384 inout DDRODT;
3385 inout DDRRASB;
3386 inout DDRVRN;
3387 inout DDRVRP;
3388 inout DDRWEB;
3389 inout PSCLK;
3390 inout PSPORB;
3391 inout PSSRSTB;
3392 inout [14:0] DDRA;
3393 inout [2:0] DDRBA;
3394 inout [31:0] DDRDQ;
3395 inout [3:0] DDRDM;
3396 inout [3:0] DDRDQSN;
3397 inout [3:0] DDRDQSP;
3398 inout [53:0] MIO;
3399 input DMA0ACLK;
3400 input DMA0DAREADY;
3401 input DMA0DRLAST;
3402 input DMA0DRVALID;
3403 input DMA1ACLK;
3404 input DMA1DAREADY;
3405 input DMA1DRLAST;
3406 input DMA1DRVALID;
3407 input DMA2ACLK;
3408 input DMA2DAREADY;
3409 input DMA2DRLAST;
3410 input DMA2DRVALID;
3411 input DMA3ACLK;
3412 input DMA3DAREADY;
3413 input DMA3DRLAST;
3414 input DMA3DRVALID;
3415 input EMIOCAN0PHYRX;
3416 input EMIOCAN1PHYRX;
3417 input EMIOENET0EXTINTIN;
3418 input EMIOENET0GMIICOL;
3419 input EMIOENET0GMIICRS;
3420 input EMIOENET0GMIIRXCLK;
3421 input EMIOENET0GMIIRXDV;
3422 input EMIOENET0GMIIRXER;
3423 input EMIOENET0GMIITXCLK;
3424 input EMIOENET0MDIOI;
3425 input EMIOENET1EXTINTIN;
3426 input EMIOENET1GMIICOL;
3427 input EMIOENET1GMIICRS;
3428 input EMIOENET1GMIIRXCLK;
3429 input EMIOENET1GMIIRXDV;
3430 input EMIOENET1GMIIRXER;
3431 input EMIOENET1GMIITXCLK;
3432 input EMIOENET1MDIOI;
3433 input EMIOI2C0SCLI;
3434 input EMIOI2C0SDAI;
3435 input EMIOI2C1SCLI;
3436 input EMIOI2C1SDAI;
3437 input EMIOPJTAGTCK;
3438 input EMIOPJTAGTDI;
3439 input EMIOPJTAGTMS;
3440 input EMIOSDIO0CDN;
3441 input EMIOSDIO0CLKFB;
3442 input EMIOSDIO0CMDI;
3443 input EMIOSDIO0WP;
3444 input EMIOSDIO1CDN;
3445 input EMIOSDIO1CLKFB;
3446 input EMIOSDIO1CMDI;
3447 input EMIOSDIO1WP;
3448 input EMIOSPI0MI;
3449 input EMIOSPI0SCLKI;
3450 input EMIOSPI0SI;
3451 input EMIOSPI0SSIN;
3452 input EMIOSPI1MI;
3453 input EMIOSPI1SCLKI;
3454 input EMIOSPI1SI;
3455 input EMIOSPI1SSIN;
3456 input EMIOSRAMINTIN;
3457 input EMIOTRACECLK;
3458 input EMIOUART0CTSN;
3459 input EMIOUART0DCDN;
3460 input EMIOUART0DSRN;
3461 input EMIOUART0RIN;
3462 input EMIOUART0RX;
3463 input EMIOUART1CTSN;
3464 input EMIOUART1DCDN;
3465 input EMIOUART1DSRN;
3466 input EMIOUART1RIN;
3467 input EMIOUART1RX;
3468 input EMIOUSB0VBUSPWRFAULT;
3469 input EMIOUSB1VBUSPWRFAULT;
3470 input EMIOWDTCLKI;
3471 input EVENTEVENTI;
3472 input FPGAIDLEN;
3473 input FTMDTRACEINCLOCK;
3474 input FTMDTRACEINVALID;
3475 input MAXIGP0ACLK;
3476 input MAXIGP0ARREADY;
3477 input MAXIGP0AWREADY;
3478 input MAXIGP0BVALID;
3479 input MAXIGP0RLAST;
3480 input MAXIGP0RVALID;
3481 input MAXIGP0WREADY;
3482 input MAXIGP1ACLK;
3483 input MAXIGP1ARREADY;
3484 input MAXIGP1AWREADY;
3485 input MAXIGP1BVALID;
3486 input MAXIGP1RLAST;
3487 input MAXIGP1RVALID;
3488 input MAXIGP1WREADY;
3489 input SAXIACPACLK;
3490 input SAXIACPARVALID;
3491 input SAXIACPAWVALID;
3492 input SAXIACPBREADY;
3493 input SAXIACPRREADY;
3494 input SAXIACPWLAST;
3495 input SAXIACPWVALID;
3496 input SAXIGP0ACLK;
3497 input SAXIGP0ARVALID;
3498 input SAXIGP0AWVALID;
3499 input SAXIGP0BREADY;
3500 input SAXIGP0RREADY;
3501 input SAXIGP0WLAST;
3502 input SAXIGP0WVALID;
3503 input SAXIGP1ACLK;
3504 input SAXIGP1ARVALID;
3505 input SAXIGP1AWVALID;
3506 input SAXIGP1BREADY;
3507 input SAXIGP1RREADY;
3508 input SAXIGP1WLAST;
3509 input SAXIGP1WVALID;
3510 input SAXIHP0ACLK;
3511 input SAXIHP0ARVALID;
3512 input SAXIHP0AWVALID;
3513 input SAXIHP0BREADY;
3514 input SAXIHP0RDISSUECAP1EN;
3515 input SAXIHP0RREADY;
3516 input SAXIHP0WLAST;
3517 input SAXIHP0WRISSUECAP1EN;
3518 input SAXIHP0WVALID;
3519 input SAXIHP1ACLK;
3520 input SAXIHP1ARVALID;
3521 input SAXIHP1AWVALID;
3522 input SAXIHP1BREADY;
3523 input SAXIHP1RDISSUECAP1EN;
3524 input SAXIHP1RREADY;
3525 input SAXIHP1WLAST;
3526 input SAXIHP1WRISSUECAP1EN;
3527 input SAXIHP1WVALID;
3528 input SAXIHP2ACLK;
3529 input SAXIHP2ARVALID;
3530 input SAXIHP2AWVALID;
3531 input SAXIHP2BREADY;
3532 input SAXIHP2RDISSUECAP1EN;
3533 input SAXIHP2RREADY;
3534 input SAXIHP2WLAST;
3535 input SAXIHP2WRISSUECAP1EN;
3536 input SAXIHP2WVALID;
3537 input SAXIHP3ACLK;
3538 input SAXIHP3ARVALID;
3539 input SAXIHP3AWVALID;
3540 input SAXIHP3BREADY;
3541 input SAXIHP3RDISSUECAP1EN;
3542 input SAXIHP3RREADY;
3543 input SAXIHP3WLAST;
3544 input SAXIHP3WRISSUECAP1EN;
3545 input SAXIHP3WVALID;
3546 input [11:0] MAXIGP0BID;
3547 input [11:0] MAXIGP0RID;
3548 input [11:0] MAXIGP1BID;
3549 input [11:0] MAXIGP1RID;
3550 input [19:0] IRQF2P;
3551 input [1:0] DMA0DRTYPE;
3552 input [1:0] DMA1DRTYPE;
3553 input [1:0] DMA2DRTYPE;
3554 input [1:0] DMA3DRTYPE;
3555 input [1:0] MAXIGP0BRESP;
3556 input [1:0] MAXIGP0RRESP;
3557 input [1:0] MAXIGP1BRESP;
3558 input [1:0] MAXIGP1RRESP;
3559 input [1:0] SAXIACPARBURST;
3560 input [1:0] SAXIACPARLOCK;
3561 input [1:0] SAXIACPARSIZE;
3562 input [1:0] SAXIACPAWBURST;
3563 input [1:0] SAXIACPAWLOCK;
3564 input [1:0] SAXIACPAWSIZE;
3565 input [1:0] SAXIGP0ARBURST;
3566 input [1:0] SAXIGP0ARLOCK;
3567 input [1:0] SAXIGP0ARSIZE;
3568 input [1:0] SAXIGP0AWBURST;
3569 input [1:0] SAXIGP0AWLOCK;
3570 input [1:0] SAXIGP0AWSIZE;
3571 input [1:0] SAXIGP1ARBURST;
3572 input [1:0] SAXIGP1ARLOCK;
3573 input [1:0] SAXIGP1ARSIZE;
3574 input [1:0] SAXIGP1AWBURST;
3575 input [1:0] SAXIGP1AWLOCK;
3576 input [1:0] SAXIGP1AWSIZE;
3577 input [1:0] SAXIHP0ARBURST;
3578 input [1:0] SAXIHP0ARLOCK;
3579 input [1:0] SAXIHP0ARSIZE;
3580 input [1:0] SAXIHP0AWBURST;
3581 input [1:0] SAXIHP0AWLOCK;
3582 input [1:0] SAXIHP0AWSIZE;
3583 input [1:0] SAXIHP1ARBURST;
3584 input [1:0] SAXIHP1ARLOCK;
3585 input [1:0] SAXIHP1ARSIZE;
3586 input [1:0] SAXIHP1AWBURST;
3587 input [1:0] SAXIHP1AWLOCK;
3588 input [1:0] SAXIHP1AWSIZE;
3589 input [1:0] SAXIHP2ARBURST;
3590 input [1:0] SAXIHP2ARLOCK;
3591 input [1:0] SAXIHP2ARSIZE;
3592 input [1:0] SAXIHP2AWBURST;
3593 input [1:0] SAXIHP2AWLOCK;
3594 input [1:0] SAXIHP2AWSIZE;
3595 input [1:0] SAXIHP3ARBURST;
3596 input [1:0] SAXIHP3ARLOCK;
3597 input [1:0] SAXIHP3ARSIZE;
3598 input [1:0] SAXIHP3AWBURST;
3599 input [1:0] SAXIHP3AWLOCK;
3600 input [1:0] SAXIHP3AWSIZE;
3601 input [2:0] EMIOTTC0CLKI;
3602 input [2:0] EMIOTTC1CLKI;
3603 input [2:0] SAXIACPARID;
3604 input [2:0] SAXIACPARPROT;
3605 input [2:0] SAXIACPAWID;
3606 input [2:0] SAXIACPAWPROT;
3607 input [2:0] SAXIACPWID;
3608 input [2:0] SAXIGP0ARPROT;
3609 input [2:0] SAXIGP0AWPROT;
3610 input [2:0] SAXIGP1ARPROT;
3611 input [2:0] SAXIGP1AWPROT;
3612 input [2:0] SAXIHP0ARPROT;
3613 input [2:0] SAXIHP0AWPROT;
3614 input [2:0] SAXIHP1ARPROT;
3615 input [2:0] SAXIHP1AWPROT;
3616 input [2:0] SAXIHP2ARPROT;
3617 input [2:0] SAXIHP2AWPROT;
3618 input [2:0] SAXIHP3ARPROT;
3619 input [2:0] SAXIHP3AWPROT;
3620 input [31:0] FTMDTRACEINDATA;
3621 input [31:0] FTMTF2PDEBUG;
3622 input [31:0] MAXIGP0RDATA;
3623 input [31:0] MAXIGP1RDATA;
3624 input [31:0] SAXIACPARADDR;
3625 input [31:0] SAXIACPAWADDR;
3626 input [31:0] SAXIGP0ARADDR;
3627 input [31:0] SAXIGP0AWADDR;
3628 input [31:0] SAXIGP0WDATA;
3629 input [31:0] SAXIGP1ARADDR;
3630 input [31:0] SAXIGP1AWADDR;
3631 input [31:0] SAXIGP1WDATA;
3632 input [31:0] SAXIHP0ARADDR;
3633 input [31:0] SAXIHP0AWADDR;
3634 input [31:0] SAXIHP1ARADDR;
3635 input [31:0] SAXIHP1AWADDR;
3636 input [31:0] SAXIHP2ARADDR;
3637 input [31:0] SAXIHP2AWADDR;
3638 input [31:0] SAXIHP3ARADDR;
3639 input [31:0] SAXIHP3AWADDR;
3640 input [3:0] DDRARB;
3641 input [3:0] EMIOSDIO0DATAI;
3642 input [3:0] EMIOSDIO1DATAI;
3643 input [3:0] FCLKCLKTRIGN;
3644 input [3:0] FTMDTRACEINATID;
3645 input [3:0] FTMTF2PTRIG;
3646 input [3:0] FTMTP2FTRIGACK;
3647 input [3:0] SAXIACPARCACHE;
3648 input [3:0] SAXIACPARLEN;
3649 input [3:0] SAXIACPARQOS;
3650 input [3:0] SAXIACPAWCACHE;
3651 input [3:0] SAXIACPAWLEN;
3652 input [3:0] SAXIACPAWQOS;
3653 input [3:0] SAXIGP0ARCACHE;
3654 input [3:0] SAXIGP0ARLEN;
3655 input [3:0] SAXIGP0ARQOS;
3656 input [3:0] SAXIGP0AWCACHE;
3657 input [3:0] SAXIGP0AWLEN;
3658 input [3:0] SAXIGP0AWQOS;
3659 input [3:0] SAXIGP0WSTRB;
3660 input [3:0] SAXIGP1ARCACHE;
3661 input [3:0] SAXIGP1ARLEN;
3662 input [3:0] SAXIGP1ARQOS;
3663 input [3:0] SAXIGP1AWCACHE;
3664 input [3:0] SAXIGP1AWLEN;
3665 input [3:0] SAXIGP1AWQOS;
3666 input [3:0] SAXIGP1WSTRB;
3667 input [3:0] SAXIHP0ARCACHE;
3668 input [3:0] SAXIHP0ARLEN;
3669 input [3:0] SAXIHP0ARQOS;
3670 input [3:0] SAXIHP0AWCACHE;
3671 input [3:0] SAXIHP0AWLEN;
3672 input [3:0] SAXIHP0AWQOS;
3673 input [3:0] SAXIHP1ARCACHE;
3674 input [3:0] SAXIHP1ARLEN;
3675 input [3:0] SAXIHP1ARQOS;
3676 input [3:0] SAXIHP1AWCACHE;
3677 input [3:0] SAXIHP1AWLEN;
3678 input [3:0] SAXIHP1AWQOS;
3679 input [3:0] SAXIHP2ARCACHE;
3680 input [3:0] SAXIHP2ARLEN;
3681 input [3:0] SAXIHP2ARQOS;
3682 input [3:0] SAXIHP2AWCACHE;
3683 input [3:0] SAXIHP2AWLEN;
3684 input [3:0] SAXIHP2AWQOS;
3685 input [3:0] SAXIHP3ARCACHE;
3686 input [3:0] SAXIHP3ARLEN;
3687 input [3:0] SAXIHP3ARQOS;
3688 input [3:0] SAXIHP3AWCACHE;
3689 input [3:0] SAXIHP3AWLEN;
3690 input [3:0] SAXIHP3AWQOS;
3691 input [4:0] SAXIACPARUSER;
3692 input [4:0] SAXIACPAWUSER;
3693 input [5:0] SAXIGP0ARID;
3694 input [5:0] SAXIGP0AWID;
3695 input [5:0] SAXIGP0WID;
3696 input [5:0] SAXIGP1ARID;
3697 input [5:0] SAXIGP1AWID;
3698 input [5:0] SAXIGP1WID;
3699 input [5:0] SAXIHP0ARID;
3700 input [5:0] SAXIHP0AWID;
3701 input [5:0] SAXIHP0WID;
3702 input [5:0] SAXIHP1ARID;
3703 input [5:0] SAXIHP1AWID;
3704 input [5:0] SAXIHP1WID;
3705 input [5:0] SAXIHP2ARID;
3706 input [5:0] SAXIHP2AWID;
3707 input [5:0] SAXIHP2WID;
3708 input [5:0] SAXIHP3ARID;
3709 input [5:0] SAXIHP3AWID;
3710 input [5:0] SAXIHP3WID;
3711 input [63:0] EMIOGPIOI;
3712 input [63:0] SAXIACPWDATA;
3713 input [63:0] SAXIHP0WDATA;
3714 input [63:0] SAXIHP1WDATA;
3715 input [63:0] SAXIHP2WDATA;
3716 input [63:0] SAXIHP3WDATA;
3717 input [7:0] EMIOENET0GMIIRXD;
3718 input [7:0] EMIOENET1GMIIRXD;
3719 input [7:0] SAXIACPWSTRB;
3720 input [7:0] SAXIHP0WSTRB;
3721 input [7:0] SAXIHP1WSTRB;
3722 input [7:0] SAXIHP2WSTRB;
3723 input [7:0] SAXIHP3WSTRB;
3724 endmodule
3725
3726 module PULLDOWN (...);
3727 output O;
3728 endmodule
3729
3730 module PULLUP (...);
3731 output O;
3732 endmodule
3733
3734 (* clkbuf_sink = "WCLK" *)
3735 module RAM128X1S (...);
3736 parameter [127:0] INIT = 128'h00000000000000000000000000000000;
3737 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3738 output O;
3739 input A0, A1, A2, A3, A4, A5, A6, D, WCLK, WE;
3740 endmodule
3741
3742 (* clkbuf_sink = "WCLK" *)
3743 module RAM256X1S (...);
3744 parameter [255:0] INIT = 256'h0;
3745 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3746 output O;
3747 input [7:0] A;
3748 input D;
3749 input WCLK;
3750 input WE;
3751 endmodule
3752
3753 (* clkbuf_sink = "WCLK" *)
3754 module RAM32M (...);
3755 parameter [63:0] INIT_A = 64'h0000000000000000;
3756 parameter [63:0] INIT_B = 64'h0000000000000000;
3757 parameter [63:0] INIT_C = 64'h0000000000000000;
3758 parameter [63:0] INIT_D = 64'h0000000000000000;
3759 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3760 output [1:0] DOA;
3761 output [1:0] DOB;
3762 output [1:0] DOC;
3763 output [1:0] DOD;
3764 input [4:0] ADDRA;
3765 input [4:0] ADDRB;
3766 input [4:0] ADDRC;
3767 input [4:0] ADDRD;
3768 input [1:0] DIA;
3769 input [1:0] DIB;
3770 input [1:0] DIC;
3771 input [1:0] DID;
3772 input WCLK;
3773 input WE;
3774 endmodule
3775
3776 (* clkbuf_sink = "WCLK" *)
3777 module RAM32X1S (...);
3778 parameter [31:0] INIT = 32'h00000000;
3779 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3780 output O;
3781 input A0, A1, A2, A3, A4, D, WCLK, WE;
3782 endmodule
3783
3784 (* clkbuf_sink = "WCLK" *)
3785 module RAM32X1S_1 (...);
3786 parameter [31:0] INIT = 32'h00000000;
3787 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3788 output O;
3789 input A0, A1, A2, A3, A4, D, WCLK, WE;
3790 endmodule
3791
3792 (* clkbuf_sink = "WCLK" *)
3793 module RAM32X2S (...);
3794 parameter [31:0] INIT_00 = 32'h00000000;
3795 parameter [31:0] INIT_01 = 32'h00000000;
3796 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3797 output O0, O1;
3798 input A0, A1, A2, A3, A4, D0, D1, WCLK, WE;
3799 endmodule
3800
3801 (* clkbuf_sink = "WCLK" *)
3802 module RAM64M (...);
3803 parameter [63:0] INIT_A = 64'h0000000000000000;
3804 parameter [63:0] INIT_B = 64'h0000000000000000;
3805 parameter [63:0] INIT_C = 64'h0000000000000000;
3806 parameter [63:0] INIT_D = 64'h0000000000000000;
3807 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3808 output DOA;
3809 output DOB;
3810 output DOC;
3811 output DOD;
3812 input [5:0] ADDRA;
3813 input [5:0] ADDRB;
3814 input [5:0] ADDRC;
3815 input [5:0] ADDRD;
3816 input DIA;
3817 input DIB;
3818 input DIC;
3819 input DID;
3820 input WCLK;
3821 input WE;
3822 endmodule
3823
3824 (* clkbuf_sink = "WCLK" *)
3825 module RAM64X1S (...);
3826 parameter [63:0] INIT = 64'h0000000000000000;
3827 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3828 output O;
3829 input A0, A1, A2, A3, A4, A5, D, WCLK, WE;
3830 endmodule
3831
3832 (* clkbuf_sink = "WCLK" *)
3833 module RAM64X1S_1 (...);
3834 parameter [63:0] INIT = 64'h0000000000000000;
3835 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3836 output O;
3837 input A0, A1, A2, A3, A4, A5, D, WCLK, WE;
3838 endmodule
3839
3840 (* clkbuf_sink = "WCLK" *)
3841 module RAM64X2S (...);
3842 parameter [63:0] INIT_00 = 64'h0000000000000000;
3843 parameter [63:0] INIT_01 = 64'h0000000000000000;
3844 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3845 output O0, O1;
3846 input A0, A1, A2, A3, A4, A5, D0, D1, WCLK, WE;
3847 endmodule
3848
3849 module ROM128X1 (...);
3850 parameter [127:0] INIT = 128'h00000000000000000000000000000000;
3851 output O;
3852 input A0, A1, A2, A3, A4, A5, A6;
3853 endmodule
3854
3855 module ROM256X1 (...);
3856 parameter [255:0] INIT = 256'h0000000000000000000000000000000000000000000000000000000000000000;
3857 output O;
3858 input A0, A1, A2, A3, A4, A5, A6, A7;
3859 endmodule
3860
3861 module ROM32X1 (...);
3862 parameter [31:0] INIT = 32'h00000000;
3863 output O;
3864 input A0, A1, A2, A3, A4;
3865 endmodule
3866
3867 module ROM64X1 (...);
3868 parameter [63:0] INIT = 64'h0000000000000000;
3869 output O;
3870 input A0, A1, A2, A3, A4, A5;
3871 endmodule
3872
3873 (* keep *)
3874 module STARTUPE2 (...);
3875 parameter PROG_USR = "FALSE";
3876 parameter real SIM_CCLK_FREQ = 0.0;
3877 output CFGCLK;
3878 output CFGMCLK;
3879 output EOS;
3880 output PREQ;
3881 input CLK;
3882 input GSR;
3883 input GTS;
3884 input KEYCLEARB;
3885 input PACK;
3886 input USRCCLKO;
3887 input USRCCLKTS;
3888 input USRDONEO;
3889 input USRDONETS;
3890 endmodule
3891
3892 module USR_ACCESSE2 (...);
3893 output CFGCLK;
3894 output DATAVALID;
3895 output [31:0] DATA;
3896 endmodule
3897
3898 module XADC (...);
3899 output BUSY;
3900 output DRDY;
3901 output EOC;
3902 output EOS;
3903 output JTAGBUSY;
3904 output JTAGLOCKED;
3905 output JTAGMODIFIED;
3906 output OT;
3907 output [15:0] DO;
3908 output [7:0] ALM;
3909 output [4:0] CHANNEL;
3910 output [4:0] MUXADDR;
3911 input CONVST;
3912 input CONVSTCLK;
3913 input DCLK;
3914 input DEN;
3915 input DWE;
3916 input RESET;
3917 input VN;
3918 input VP;
3919 input [15:0] DI;
3920 input [15:0] VAUXN;
3921 input [15:0] VAUXP;
3922 input [6:0] DADDR;
3923 parameter [15:0] INIT_40 = 16'h0;
3924 parameter [15:0] INIT_41 = 16'h0;
3925 parameter [15:0] INIT_42 = 16'h0800;
3926 parameter [15:0] INIT_43 = 16'h0;
3927 parameter [15:0] INIT_44 = 16'h0;
3928 parameter [15:0] INIT_45 = 16'h0;
3929 parameter [15:0] INIT_46 = 16'h0;
3930 parameter [15:0] INIT_47 = 16'h0;
3931 parameter [15:0] INIT_48 = 16'h0;
3932 parameter [15:0] INIT_49 = 16'h0;
3933 parameter [15:0] INIT_4A = 16'h0;
3934 parameter [15:0] INIT_4B = 16'h0;
3935 parameter [15:0] INIT_4C = 16'h0;
3936 parameter [15:0] INIT_4D = 16'h0;
3937 parameter [15:0] INIT_4E = 16'h0;
3938 parameter [15:0] INIT_4F = 16'h0;
3939 parameter [15:0] INIT_50 = 16'h0;
3940 parameter [15:0] INIT_51 = 16'h0;
3941 parameter [15:0] INIT_52 = 16'h0;
3942 parameter [15:0] INIT_53 = 16'h0;
3943 parameter [15:0] INIT_54 = 16'h0;
3944 parameter [15:0] INIT_55 = 16'h0;
3945 parameter [15:0] INIT_56 = 16'h0;
3946 parameter [15:0] INIT_57 = 16'h0;
3947 parameter [15:0] INIT_58 = 16'h0;
3948 parameter [15:0] INIT_59 = 16'h0;
3949 parameter [15:0] INIT_5A = 16'h0;
3950 parameter [15:0] INIT_5B = 16'h0;
3951 parameter [15:0] INIT_5C = 16'h0;
3952 parameter [15:0] INIT_5D = 16'h0;
3953 parameter [15:0] INIT_5E = 16'h0;
3954 parameter [15:0] INIT_5F = 16'h0;
3955 parameter IS_CONVSTCLK_INVERTED = 1'b0;
3956 parameter IS_DCLK_INVERTED = 1'b0;
3957 parameter SIM_DEVICE = "7SERIES";
3958 parameter SIM_MONITOR_FILE = "design.txt";
3959 endmodule
3960