Merge pull request #521 from azonenberg/for_clifford
[yosys.git] / techlibs / xilinx / cells_xtra.v
1 // Created by cells_xtra.sh from Xilinx models
2
3 module BSCANE2 (...);
4 parameter DISABLE_JTAG = "FALSE";
5 parameter integer JTAG_CHAIN = 1;
6 output CAPTURE;
7 output DRCK;
8 output RESET;
9 output RUNTEST;
10 output SEL;
11 output SHIFT;
12 output TCK;
13 output TDI;
14 output TMS;
15 output UPDATE;
16 input TDO;
17 endmodule
18
19 module BUFGCE (...);
20 parameter CE_TYPE = "SYNC";
21 parameter [0:0] IS_CE_INVERTED = 1'b0;
22 parameter [0:0] IS_I_INVERTED = 1'b0;
23 output O;
24 input CE;
25 input I;
26 endmodule
27
28 module BUFGCE_1 (...);
29 output O;
30 input CE, I;
31 endmodule
32
33 module BUFGCTRL (...);
34 output O;
35 input CE0;
36 input CE1;
37 input I0;
38 input I1;
39 input IGNORE0;
40 input IGNORE1;
41 input S0;
42 input S1;
43 parameter integer INIT_OUT = 0;
44 parameter PRESELECT_I0 = "FALSE";
45 parameter PRESELECT_I1 = "FALSE";
46 parameter [0:0] IS_CE0_INVERTED = 1'b0;
47 parameter [0:0] IS_CE1_INVERTED = 1'b0;
48 parameter [0:0] IS_I0_INVERTED = 1'b0;
49 parameter [0:0] IS_I1_INVERTED = 1'b0;
50 parameter [0:0] IS_IGNORE0_INVERTED = 1'b0;
51 parameter [0:0] IS_IGNORE1_INVERTED = 1'b0;
52 parameter [0:0] IS_S0_INVERTED = 1'b0;
53 parameter [0:0] IS_S1_INVERTED = 1'b0;
54 endmodule
55
56 module BUFGMUX (...);
57 parameter CLK_SEL_TYPE = "SYNC";
58 output O;
59 input I0, I1, S;
60 endmodule
61
62 module BUFGMUX_1 (...);
63 parameter CLK_SEL_TYPE = "SYNC";
64 output O;
65 input I0, I1, S;
66 endmodule
67
68 module BUFGMUX_CTRL (...);
69 output O;
70 input I0;
71 input I1;
72 input S;
73 endmodule
74
75 module BUFH (...);
76 output O;
77 input I;
78 endmodule
79
80 module BUFHCE (...);
81 parameter CE_TYPE = "SYNC";
82 parameter integer INIT_OUT = 0;
83 parameter [0:0] IS_CE_INVERTED = 1'b0;
84 output O;
85 input CE;
86 input I;
87 endmodule
88
89 module BUFIO (...);
90 output O;
91 input I;
92 endmodule
93
94 module BUFMR (...);
95 output O;
96 input I;
97 endmodule
98
99 module BUFMRCE (...);
100 parameter CE_TYPE = "SYNC";
101 parameter integer INIT_OUT = 0;
102 parameter [0:0] IS_CE_INVERTED = 1'b0;
103 output O;
104 input CE;
105 input I;
106 endmodule
107
108 module BUFR (...);
109 output O;
110 input CE;
111 input CLR;
112 input I;
113 parameter BUFR_DIVIDE = "BYPASS";
114 parameter SIM_DEVICE = "7SERIES";
115 endmodule
116
117 module CAPTUREE2 (...);
118 parameter ONESHOT = "TRUE";
119 input CAP;
120 input CLK;
121 endmodule
122
123 module CFGLUT5 (...);
124 parameter [31:0] INIT = 32'h00000000;
125 parameter [0:0] IS_CLK_INVERTED = 1'b0;
126 output CDO;
127 output O5;
128 output O6;
129 input I4, I3, I2, I1, I0;
130 input CDI, CE, CLK;
131 endmodule
132
133 module DCIRESET (...);
134 output LOCKED;
135 input RST;
136 endmodule
137
138 module DNA_PORT (...);
139 parameter [56:0] SIM_DNA_VALUE = 57'h0;
140 output DOUT;
141 input CLK, DIN, READ, SHIFT;
142 endmodule
143
144 module DSP48E1 (...);
145 parameter integer ACASCREG = 1;
146 parameter integer ADREG = 1;
147 parameter integer ALUMODEREG = 1;
148 parameter integer AREG = 1;
149 parameter AUTORESET_PATDET = "NO_RESET";
150 parameter A_INPUT = "DIRECT";
151 parameter integer BCASCREG = 1;
152 parameter integer BREG = 1;
153 parameter B_INPUT = "DIRECT";
154 parameter integer CARRYINREG = 1;
155 parameter integer CARRYINSELREG = 1;
156 parameter integer CREG = 1;
157 parameter integer DREG = 1;
158 parameter integer INMODEREG = 1;
159 parameter integer MREG = 1;
160 parameter integer OPMODEREG = 1;
161 parameter integer PREG = 1;
162 parameter SEL_MASK = "MASK";
163 parameter SEL_PATTERN = "PATTERN";
164 parameter USE_DPORT = "FALSE";
165 parameter USE_MULT = "MULTIPLY";
166 parameter USE_PATTERN_DETECT = "NO_PATDET";
167 parameter USE_SIMD = "ONE48";
168 parameter [47:0] MASK = 48'h3FFFFFFFFFFF;
169 parameter [47:0] PATTERN = 48'h000000000000;
170 parameter [3:0] IS_ALUMODE_INVERTED = 4'b0;
171 parameter [0:0] IS_CARRYIN_INVERTED = 1'b0;
172 parameter [0:0] IS_CLK_INVERTED = 1'b0;
173 parameter [4:0] IS_INMODE_INVERTED = 5'b0;
174 parameter [6:0] IS_OPMODE_INVERTED = 7'b0;
175 output [29:0] ACOUT;
176 output [17:0] BCOUT;
177 output CARRYCASCOUT;
178 output [3:0] CARRYOUT;
179 output MULTSIGNOUT;
180 output OVERFLOW;
181 output [47:0] P;
182 output PATTERNBDETECT;
183 output PATTERNDETECT;
184 output [47:0] PCOUT;
185 output UNDERFLOW;
186 input [29:0] A;
187 input [29:0] ACIN;
188 input [3:0] ALUMODE;
189 input [17:0] B;
190 input [17:0] BCIN;
191 input [47:0] C;
192 input CARRYCASCIN;
193 input CARRYIN;
194 input [2:0] CARRYINSEL;
195 input CEA1;
196 input CEA2;
197 input CEAD;
198 input CEALUMODE;
199 input CEB1;
200 input CEB2;
201 input CEC;
202 input CECARRYIN;
203 input CECTRL;
204 input CED;
205 input CEINMODE;
206 input CEM;
207 input CEP;
208 input CLK;
209 input [24:0] D;
210 input [4:0] INMODE;
211 input MULTSIGNIN;
212 input [6:0] OPMODE;
213 input [47:0] PCIN;
214 input RSTA;
215 input RSTALLCARRYIN;
216 input RSTALUMODE;
217 input RSTB;
218 input RSTC;
219 input RSTCTRL;
220 input RSTD;
221 input RSTINMODE;
222 input RSTM;
223 input RSTP;
224 endmodule
225
226 module EFUSE_USR (...);
227 parameter [31:0] SIM_EFUSE_VALUE = 32'h00000000;
228 output [31:0] EFUSEUSR;
229 endmodule
230
231 module FIFO18E1 (...);
232 parameter ALMOST_EMPTY_OFFSET = 13'h0080;
233 parameter ALMOST_FULL_OFFSET = 13'h0080;
234 parameter integer DATA_WIDTH = 4;
235 parameter integer DO_REG = 1;
236 parameter EN_SYN = "FALSE";
237 parameter FIFO_MODE = "FIFO18";
238 parameter FIRST_WORD_FALL_THROUGH = "FALSE";
239 parameter INIT = 36'h0;
240 parameter SIM_DEVICE = "VIRTEX6";
241 parameter SRVAL = 36'h0;
242 parameter IS_RDCLK_INVERTED = 1'b0;
243 parameter IS_RDEN_INVERTED = 1'b0;
244 parameter IS_RSTREG_INVERTED = 1'b0;
245 parameter IS_RST_INVERTED = 1'b0;
246 parameter IS_WRCLK_INVERTED = 1'b0;
247 parameter IS_WREN_INVERTED = 1'b0;
248 output ALMOSTEMPTY;
249 output ALMOSTFULL;
250 output [31:0] DO;
251 output [3:0] DOP;
252 output EMPTY;
253 output FULL;
254 output [11:0] RDCOUNT;
255 output RDERR;
256 output [11:0] WRCOUNT;
257 output WRERR;
258 input [31:0] DI;
259 input [3:0] DIP;
260 input RDCLK;
261 input RDEN;
262 input REGCE;
263 input RST;
264 input RSTREG;
265 input WRCLK;
266 input WREN;
267 endmodule
268
269 module FIFO36E1 (...);
270 parameter ALMOST_EMPTY_OFFSET = 13'h0080;
271 parameter ALMOST_FULL_OFFSET = 13'h0080;
272 parameter integer DATA_WIDTH = 4;
273 parameter integer DO_REG = 1;
274 parameter EN_ECC_READ = "FALSE";
275 parameter EN_ECC_WRITE = "FALSE";
276 parameter EN_SYN = "FALSE";
277 parameter FIFO_MODE = "FIFO36";
278 parameter FIRST_WORD_FALL_THROUGH = "FALSE";
279 parameter INIT = 72'h0;
280 parameter SIM_DEVICE = "VIRTEX6";
281 parameter SRVAL = 72'h0;
282 parameter IS_RDCLK_INVERTED = 1'b0;
283 parameter IS_RDEN_INVERTED = 1'b0;
284 parameter IS_RSTREG_INVERTED = 1'b0;
285 parameter IS_RST_INVERTED = 1'b0;
286 parameter IS_WRCLK_INVERTED = 1'b0;
287 parameter IS_WREN_INVERTED = 1'b0;
288 output ALMOSTEMPTY;
289 output ALMOSTFULL;
290 output DBITERR;
291 output [63:0] DO;
292 output [7:0] DOP;
293 output [7:0] ECCPARITY;
294 output EMPTY;
295 output FULL;
296 output [12:0] RDCOUNT;
297 output RDERR;
298 output SBITERR;
299 output [12:0] WRCOUNT;
300 output WRERR;
301 input [63:0] DI;
302 input [7:0] DIP;
303 input INJECTDBITERR;
304 input INJECTSBITERR;
305 input RDCLK;
306 input RDEN;
307 input REGCE;
308 input RST;
309 input RSTREG;
310 input WRCLK;
311 input WREN;
312 endmodule
313
314 module FRAME_ECCE2 (...);
315 parameter FARSRC = "EFAR";
316 parameter FRAME_RBT_IN_FILENAME = "NONE";
317 output CRCERROR;
318 output ECCERROR;
319 output ECCERRORSINGLE;
320 output SYNDROMEVALID;
321 output [12:0] SYNDROME;
322 output [25:0] FAR;
323 output [4:0] SYNBIT;
324 output [6:0] SYNWORD;
325 endmodule
326
327 module GTHE2_CHANNEL (...);
328 parameter [0:0] ACJTAG_DEBUG_MODE = 1'b0;
329 parameter [0:0] ACJTAG_MODE = 1'b0;
330 parameter [0:0] ACJTAG_RESET = 1'b0;
331 parameter [19:0] ADAPT_CFG0 = 20'h00C10;
332 parameter ALIGN_COMMA_DOUBLE = "FALSE";
333 parameter [9:0] ALIGN_COMMA_ENABLE = 10'b0001111111;
334 parameter integer ALIGN_COMMA_WORD = 1;
335 parameter ALIGN_MCOMMA_DET = "TRUE";
336 parameter [9:0] ALIGN_MCOMMA_VALUE = 10'b1010000011;
337 parameter ALIGN_PCOMMA_DET = "TRUE";
338 parameter [9:0] ALIGN_PCOMMA_VALUE = 10'b0101111100;
339 parameter [0:0] A_RXOSCALRESET = 1'b0;
340 parameter CBCC_DATA_SOURCE_SEL = "DECODED";
341 parameter [41:0] CFOK_CFG = 42'h24800040E80;
342 parameter [5:0] CFOK_CFG2 = 6'b100000;
343 parameter [5:0] CFOK_CFG3 = 6'b100000;
344 parameter CHAN_BOND_KEEP_ALIGN = "FALSE";
345 parameter integer CHAN_BOND_MAX_SKEW = 7;
346 parameter [9:0] CHAN_BOND_SEQ_1_1 = 10'b0101111100;
347 parameter [9:0] CHAN_BOND_SEQ_1_2 = 10'b0000000000;
348 parameter [9:0] CHAN_BOND_SEQ_1_3 = 10'b0000000000;
349 parameter [9:0] CHAN_BOND_SEQ_1_4 = 10'b0000000000;
350 parameter [3:0] CHAN_BOND_SEQ_1_ENABLE = 4'b1111;
351 parameter [9:0] CHAN_BOND_SEQ_2_1 = 10'b0100000000;
352 parameter [9:0] CHAN_BOND_SEQ_2_2 = 10'b0100000000;
353 parameter [9:0] CHAN_BOND_SEQ_2_3 = 10'b0100000000;
354 parameter [9:0] CHAN_BOND_SEQ_2_4 = 10'b0100000000;
355 parameter [3:0] CHAN_BOND_SEQ_2_ENABLE = 4'b1111;
356 parameter CHAN_BOND_SEQ_2_USE = "FALSE";
357 parameter integer CHAN_BOND_SEQ_LEN = 1;
358 parameter CLK_CORRECT_USE = "TRUE";
359 parameter CLK_COR_KEEP_IDLE = "FALSE";
360 parameter integer CLK_COR_MAX_LAT = 20;
361 parameter integer CLK_COR_MIN_LAT = 18;
362 parameter CLK_COR_PRECEDENCE = "TRUE";
363 parameter integer CLK_COR_REPEAT_WAIT = 0;
364 parameter [9:0] CLK_COR_SEQ_1_1 = 10'b0100011100;
365 parameter [9:0] CLK_COR_SEQ_1_2 = 10'b0000000000;
366 parameter [9:0] CLK_COR_SEQ_1_3 = 10'b0000000000;
367 parameter [9:0] CLK_COR_SEQ_1_4 = 10'b0000000000;
368 parameter [3:0] CLK_COR_SEQ_1_ENABLE = 4'b1111;
369 parameter [9:0] CLK_COR_SEQ_2_1 = 10'b0100000000;
370 parameter [9:0] CLK_COR_SEQ_2_2 = 10'b0100000000;
371 parameter [9:0] CLK_COR_SEQ_2_3 = 10'b0100000000;
372 parameter [9:0] CLK_COR_SEQ_2_4 = 10'b0100000000;
373 parameter [3:0] CLK_COR_SEQ_2_ENABLE = 4'b1111;
374 parameter CLK_COR_SEQ_2_USE = "FALSE";
375 parameter integer CLK_COR_SEQ_LEN = 1;
376 parameter [28:0] CPLL_CFG = 29'h00BC07DC;
377 parameter integer CPLL_FBDIV = 4;
378 parameter integer CPLL_FBDIV_45 = 5;
379 parameter [23:0] CPLL_INIT_CFG = 24'h00001E;
380 parameter [15:0] CPLL_LOCK_CFG = 16'h01E8;
381 parameter integer CPLL_REFCLK_DIV = 1;
382 parameter DEC_MCOMMA_DETECT = "TRUE";
383 parameter DEC_PCOMMA_DETECT = "TRUE";
384 parameter DEC_VALID_COMMA_ONLY = "TRUE";
385 parameter [23:0] DMONITOR_CFG = 24'h000A00;
386 parameter [0:0] ES_CLK_PHASE_SEL = 1'b0;
387 parameter [5:0] ES_CONTROL = 6'b000000;
388 parameter ES_ERRDET_EN = "FALSE";
389 parameter ES_EYE_SCAN_EN = "TRUE";
390 parameter [11:0] ES_HORZ_OFFSET = 12'h000;
391 parameter [9:0] ES_PMA_CFG = 10'b0000000000;
392 parameter [4:0] ES_PRESCALE = 5'b00000;
393 parameter [79:0] ES_QUALIFIER = 80'h00000000000000000000;
394 parameter [79:0] ES_QUAL_MASK = 80'h00000000000000000000;
395 parameter [79:0] ES_SDATA_MASK = 80'h00000000000000000000;
396 parameter [8:0] ES_VERT_OFFSET = 9'b000000000;
397 parameter [3:0] FTS_DESKEW_SEQ_ENABLE = 4'b1111;
398 parameter [3:0] FTS_LANE_DESKEW_CFG = 4'b1111;
399 parameter FTS_LANE_DESKEW_EN = "FALSE";
400 parameter [2:0] GEARBOX_MODE = 3'b000;
401 parameter [0:0] IS_CLKRSVD0_INVERTED = 1'b0;
402 parameter [0:0] IS_CLKRSVD1_INVERTED = 1'b0;
403 parameter [0:0] IS_CPLLLOCKDETCLK_INVERTED = 1'b0;
404 parameter [0:0] IS_DMONITORCLK_INVERTED = 1'b0;
405 parameter [0:0] IS_DRPCLK_INVERTED = 1'b0;
406 parameter [0:0] IS_GTGREFCLK_INVERTED = 1'b0;
407 parameter [0:0] IS_RXUSRCLK2_INVERTED = 1'b0;
408 parameter [0:0] IS_RXUSRCLK_INVERTED = 1'b0;
409 parameter [0:0] IS_SIGVALIDCLK_INVERTED = 1'b0;
410 parameter [0:0] IS_TXPHDLYTSTCLK_INVERTED = 1'b0;
411 parameter [0:0] IS_TXUSRCLK2_INVERTED = 1'b0;
412 parameter [0:0] IS_TXUSRCLK_INVERTED = 1'b0;
413 parameter [0:0] LOOPBACK_CFG = 1'b0;
414 parameter [1:0] OUTREFCLK_SEL_INV = 2'b11;
415 parameter PCS_PCIE_EN = "FALSE";
416 parameter [47:0] PCS_RSVD_ATTR = 48'h000000000000;
417 parameter [11:0] PD_TRANS_TIME_FROM_P2 = 12'h03C;
418 parameter [7:0] PD_TRANS_TIME_NONE_P2 = 8'h19;
419 parameter [7:0] PD_TRANS_TIME_TO_P2 = 8'h64;
420 parameter [31:0] PMA_RSV = 32'b00000000000000000000000010000000;
421 parameter [31:0] PMA_RSV2 = 32'b00011100000000000000000000001010;
422 parameter [1:0] PMA_RSV3 = 2'b00;
423 parameter [14:0] PMA_RSV4 = 15'b000000000001000;
424 parameter [3:0] PMA_RSV5 = 4'b0000;
425 parameter [0:0] RESET_POWERSAVE_DISABLE = 1'b0;
426 parameter [4:0] RXBUFRESET_TIME = 5'b00001;
427 parameter RXBUF_ADDR_MODE = "FULL";
428 parameter [3:0] RXBUF_EIDLE_HI_CNT = 4'b1000;
429 parameter [3:0] RXBUF_EIDLE_LO_CNT = 4'b0000;
430 parameter RXBUF_EN = "TRUE";
431 parameter RXBUF_RESET_ON_CB_CHANGE = "TRUE";
432 parameter RXBUF_RESET_ON_COMMAALIGN = "FALSE";
433 parameter RXBUF_RESET_ON_EIDLE = "FALSE";
434 parameter RXBUF_RESET_ON_RATE_CHANGE = "TRUE";
435 parameter integer RXBUF_THRESH_OVFLW = 61;
436 parameter RXBUF_THRESH_OVRD = "FALSE";
437 parameter integer RXBUF_THRESH_UNDFLW = 4;
438 parameter [4:0] RXCDRFREQRESET_TIME = 5'b00001;
439 parameter [4:0] RXCDRPHRESET_TIME = 5'b00001;
440 parameter [82:0] RXCDR_CFG = 83'h0002007FE2000C208001A;
441 parameter [0:0] RXCDR_FR_RESET_ON_EIDLE = 1'b0;
442 parameter [0:0] RXCDR_HOLD_DURING_EIDLE = 1'b0;
443 parameter [5:0] RXCDR_LOCK_CFG = 6'b001001;
444 parameter [0:0] RXCDR_PH_RESET_ON_EIDLE = 1'b0;
445 parameter [6:0] RXDFELPMRESET_TIME = 7'b0001111;
446 parameter [15:0] RXDLY_CFG = 16'h001F;
447 parameter [8:0] RXDLY_LCFG = 9'h030;
448 parameter [15:0] RXDLY_TAP_CFG = 16'h0000;
449 parameter RXGEARBOX_EN = "FALSE";
450 parameter [4:0] RXISCANRESET_TIME = 5'b00001;
451 parameter [13:0] RXLPM_HF_CFG = 14'b00001000000000;
452 parameter [17:0] RXLPM_LF_CFG = 18'b001001000000000000;
453 parameter [6:0] RXOOB_CFG = 7'b0000110;
454 parameter RXOOB_CLK_CFG = "PMA";
455 parameter [4:0] RXOSCALRESET_TIME = 5'b00011;
456 parameter [4:0] RXOSCALRESET_TIMEOUT = 5'b00000;
457 parameter integer RXOUT_DIV = 2;
458 parameter [4:0] RXPCSRESET_TIME = 5'b00001;
459 parameter [23:0] RXPHDLY_CFG = 24'h084020;
460 parameter [23:0] RXPH_CFG = 24'hC00002;
461 parameter [4:0] RXPH_MONITOR_SEL = 5'b00000;
462 parameter [1:0] RXPI_CFG0 = 2'b00;
463 parameter [1:0] RXPI_CFG1 = 2'b00;
464 parameter [1:0] RXPI_CFG2 = 2'b00;
465 parameter [1:0] RXPI_CFG3 = 2'b00;
466 parameter [0:0] RXPI_CFG4 = 1'b0;
467 parameter [0:0] RXPI_CFG5 = 1'b0;
468 parameter [2:0] RXPI_CFG6 = 3'b100;
469 parameter [4:0] RXPMARESET_TIME = 5'b00011;
470 parameter [0:0] RXPRBS_ERR_LOOPBACK = 1'b0;
471 parameter integer RXSLIDE_AUTO_WAIT = 7;
472 parameter RXSLIDE_MODE = "OFF";
473 parameter [0:0] RXSYNC_MULTILANE = 1'b0;
474 parameter [0:0] RXSYNC_OVRD = 1'b0;
475 parameter [0:0] RXSYNC_SKIP_DA = 1'b0;
476 parameter [23:0] RX_BIAS_CFG = 24'b000011000000000000010000;
477 parameter [5:0] RX_BUFFER_CFG = 6'b000000;
478 parameter integer RX_CLK25_DIV = 7;
479 parameter [0:0] RX_CLKMUX_PD = 1'b1;
480 parameter [1:0] RX_CM_SEL = 2'b11;
481 parameter [3:0] RX_CM_TRIM = 4'b0100;
482 parameter integer RX_DATA_WIDTH = 20;
483 parameter [5:0] RX_DDI_SEL = 6'b000000;
484 parameter [13:0] RX_DEBUG_CFG = 14'b00000000000000;
485 parameter RX_DEFER_RESET_BUF_EN = "TRUE";
486 parameter [3:0] RX_DFELPM_CFG0 = 4'b0110;
487 parameter [0:0] RX_DFELPM_CFG1 = 1'b0;
488 parameter [0:0] RX_DFELPM_KLKH_AGC_STUP_EN = 1'b1;
489 parameter [1:0] RX_DFE_AGC_CFG0 = 2'b00;
490 parameter [2:0] RX_DFE_AGC_CFG1 = 3'b010;
491 parameter [3:0] RX_DFE_AGC_CFG2 = 4'b0000;
492 parameter [0:0] RX_DFE_AGC_OVRDEN = 1'b1;
493 parameter [22:0] RX_DFE_GAIN_CFG = 23'h0020C0;
494 parameter [11:0] RX_DFE_H2_CFG = 12'b000000000000;
495 parameter [11:0] RX_DFE_H3_CFG = 12'b000001000000;
496 parameter [10:0] RX_DFE_H4_CFG = 11'b00011100000;
497 parameter [10:0] RX_DFE_H5_CFG = 11'b00011100000;
498 parameter [10:0] RX_DFE_H6_CFG = 11'b00000100000;
499 parameter [10:0] RX_DFE_H7_CFG = 11'b00000100000;
500 parameter [32:0] RX_DFE_KL_CFG = 33'b000000000000000000000001100010000;
501 parameter [1:0] RX_DFE_KL_LPM_KH_CFG0 = 2'b01;
502 parameter [2:0] RX_DFE_KL_LPM_KH_CFG1 = 3'b010;
503 parameter [3:0] RX_DFE_KL_LPM_KH_CFG2 = 4'b0010;
504 parameter [0:0] RX_DFE_KL_LPM_KH_OVRDEN = 1'b1;
505 parameter [1:0] RX_DFE_KL_LPM_KL_CFG0 = 2'b10;
506 parameter [2:0] RX_DFE_KL_LPM_KL_CFG1 = 3'b010;
507 parameter [3:0] RX_DFE_KL_LPM_KL_CFG2 = 4'b0010;
508 parameter [0:0] RX_DFE_KL_LPM_KL_OVRDEN = 1'b1;
509 parameter [15:0] RX_DFE_LPM_CFG = 16'h0080;
510 parameter [0:0] RX_DFE_LPM_HOLD_DURING_EIDLE = 1'b0;
511 parameter [53:0] RX_DFE_ST_CFG = 54'h00E100000C003F;
512 parameter [16:0] RX_DFE_UT_CFG = 17'b00011100000000000;
513 parameter [16:0] RX_DFE_VP_CFG = 17'b00011101010100011;
514 parameter RX_DISPERR_SEQ_MATCH = "TRUE";
515 parameter integer RX_INT_DATAWIDTH = 0;
516 parameter [12:0] RX_OS_CFG = 13'b0000010000000;
517 parameter integer RX_SIG_VALID_DLY = 10;
518 parameter RX_XCLK_SEL = "RXREC";
519 parameter integer SAS_MAX_COM = 64;
520 parameter integer SAS_MIN_COM = 36;
521 parameter [3:0] SATA_BURST_SEQ_LEN = 4'b1111;
522 parameter [2:0] SATA_BURST_VAL = 3'b100;
523 parameter SATA_CPLL_CFG = "VCO_3000MHZ";
524 parameter [2:0] SATA_EIDLE_VAL = 3'b100;
525 parameter integer SATA_MAX_BURST = 8;
526 parameter integer SATA_MAX_INIT = 21;
527 parameter integer SATA_MAX_WAKE = 7;
528 parameter integer SATA_MIN_BURST = 4;
529 parameter integer SATA_MIN_INIT = 12;
530 parameter integer SATA_MIN_WAKE = 4;
531 parameter SHOW_REALIGN_COMMA = "TRUE";
532 parameter [2:0] SIM_CPLLREFCLK_SEL = 3'b001;
533 parameter SIM_RECEIVER_DETECT_PASS = "TRUE";
534 parameter SIM_RESET_SPEEDUP = "TRUE";
535 parameter SIM_TX_EIDLE_DRIVE_LEVEL = "X";
536 parameter SIM_VERSION = "1.1";
537 parameter [14:0] TERM_RCAL_CFG = 15'b100001000010000;
538 parameter [2:0] TERM_RCAL_OVRD = 3'b000;
539 parameter [7:0] TRANS_TIME_RATE = 8'h0E;
540 parameter [31:0] TST_RSV = 32'h00000000;
541 parameter TXBUF_EN = "TRUE";
542 parameter TXBUF_RESET_ON_RATE_CHANGE = "FALSE";
543 parameter [15:0] TXDLY_CFG = 16'h001F;
544 parameter [8:0] TXDLY_LCFG = 9'h030;
545 parameter [15:0] TXDLY_TAP_CFG = 16'h0000;
546 parameter TXGEARBOX_EN = "FALSE";
547 parameter [0:0] TXOOB_CFG = 1'b0;
548 parameter integer TXOUT_DIV = 2;
549 parameter [4:0] TXPCSRESET_TIME = 5'b00001;
550 parameter [23:0] TXPHDLY_CFG = 24'h084020;
551 parameter [15:0] TXPH_CFG = 16'h0780;
552 parameter [4:0] TXPH_MONITOR_SEL = 5'b00000;
553 parameter [1:0] TXPI_CFG0 = 2'b00;
554 parameter [1:0] TXPI_CFG1 = 2'b00;
555 parameter [1:0] TXPI_CFG2 = 2'b00;
556 parameter [0:0] TXPI_CFG3 = 1'b0;
557 parameter [0:0] TXPI_CFG4 = 1'b0;
558 parameter [2:0] TXPI_CFG5 = 3'b100;
559 parameter [0:0] TXPI_GREY_SEL = 1'b0;
560 parameter [0:0] TXPI_INVSTROBE_SEL = 1'b0;
561 parameter TXPI_PPMCLK_SEL = "TXUSRCLK2";
562 parameter [7:0] TXPI_PPM_CFG = 8'b00000000;
563 parameter [2:0] TXPI_SYNFREQ_PPM = 3'b000;
564 parameter [4:0] TXPMARESET_TIME = 5'b00001;
565 parameter [0:0] TXSYNC_MULTILANE = 1'b0;
566 parameter [0:0] TXSYNC_OVRD = 1'b0;
567 parameter [0:0] TXSYNC_SKIP_DA = 1'b0;
568 parameter integer TX_CLK25_DIV = 7;
569 parameter [0:0] TX_CLKMUX_PD = 1'b1;
570 parameter integer TX_DATA_WIDTH = 20;
571 parameter [5:0] TX_DEEMPH0 = 6'b000000;
572 parameter [5:0] TX_DEEMPH1 = 6'b000000;
573 parameter TX_DRIVE_MODE = "DIRECT";
574 parameter [2:0] TX_EIDLE_ASSERT_DELAY = 3'b110;
575 parameter [2:0] TX_EIDLE_DEASSERT_DELAY = 3'b100;
576 parameter integer TX_INT_DATAWIDTH = 0;
577 parameter TX_LOOPBACK_DRIVE_HIZ = "FALSE";
578 parameter [0:0] TX_MAINCURSOR_SEL = 1'b0;
579 parameter [6:0] TX_MARGIN_FULL_0 = 7'b1001110;
580 parameter [6:0] TX_MARGIN_FULL_1 = 7'b1001001;
581 parameter [6:0] TX_MARGIN_FULL_2 = 7'b1000101;
582 parameter [6:0] TX_MARGIN_FULL_3 = 7'b1000010;
583 parameter [6:0] TX_MARGIN_FULL_4 = 7'b1000000;
584 parameter [6:0] TX_MARGIN_LOW_0 = 7'b1000110;
585 parameter [6:0] TX_MARGIN_LOW_1 = 7'b1000100;
586 parameter [6:0] TX_MARGIN_LOW_2 = 7'b1000010;
587 parameter [6:0] TX_MARGIN_LOW_3 = 7'b1000000;
588 parameter [6:0] TX_MARGIN_LOW_4 = 7'b1000000;
589 parameter [0:0] TX_QPI_STATUS_EN = 1'b0;
590 parameter [13:0] TX_RXDETECT_CFG = 14'h1832;
591 parameter [16:0] TX_RXDETECT_PRECHARGE_TIME = 17'h00000;
592 parameter [2:0] TX_RXDETECT_REF = 3'b100;
593 parameter TX_XCLK_SEL = "TXUSR";
594 parameter [0:0] UCODEER_CLR = 1'b0;
595 parameter [0:0] USE_PCS_CLK_PHASE_SEL = 1'b0;
596 output CPLLFBCLKLOST;
597 output CPLLLOCK;
598 output CPLLREFCLKLOST;
599 output DRPRDY;
600 output EYESCANDATAERROR;
601 output GTHTXN;
602 output GTHTXP;
603 output GTREFCLKMONITOR;
604 output PHYSTATUS;
605 output RSOSINTDONE;
606 output RXBYTEISALIGNED;
607 output RXBYTEREALIGN;
608 output RXCDRLOCK;
609 output RXCHANBONDSEQ;
610 output RXCHANISALIGNED;
611 output RXCHANREALIGN;
612 output RXCOMINITDET;
613 output RXCOMMADET;
614 output RXCOMSASDET;
615 output RXCOMWAKEDET;
616 output RXDFESLIDETAPSTARTED;
617 output RXDFESLIDETAPSTROBEDONE;
618 output RXDFESLIDETAPSTROBESTARTED;
619 output RXDFESTADAPTDONE;
620 output RXDLYSRESETDONE;
621 output RXELECIDLE;
622 output RXOSINTSTARTED;
623 output RXOSINTSTROBEDONE;
624 output RXOSINTSTROBESTARTED;
625 output RXOUTCLK;
626 output RXOUTCLKFABRIC;
627 output RXOUTCLKPCS;
628 output RXPHALIGNDONE;
629 output RXPMARESETDONE;
630 output RXPRBSERR;
631 output RXQPISENN;
632 output RXQPISENP;
633 output RXRATEDONE;
634 output RXRESETDONE;
635 output RXSYNCDONE;
636 output RXSYNCOUT;
637 output RXVALID;
638 output TXCOMFINISH;
639 output TXDLYSRESETDONE;
640 output TXGEARBOXREADY;
641 output TXOUTCLK;
642 output TXOUTCLKFABRIC;
643 output TXOUTCLKPCS;
644 output TXPHALIGNDONE;
645 output TXPHINITDONE;
646 output TXPMARESETDONE;
647 output TXQPISENN;
648 output TXQPISENP;
649 output TXRATEDONE;
650 output TXRESETDONE;
651 output TXSYNCDONE;
652 output TXSYNCOUT;
653 output [14:0] DMONITOROUT;
654 output [15:0] DRPDO;
655 output [15:0] PCSRSVDOUT;
656 output [1:0] RXCLKCORCNT;
657 output [1:0] RXDATAVALID;
658 output [1:0] RXHEADERVALID;
659 output [1:0] RXSTARTOFSEQ;
660 output [1:0] TXBUFSTATUS;
661 output [2:0] RXBUFSTATUS;
662 output [2:0] RXSTATUS;
663 output [4:0] RXCHBONDO;
664 output [4:0] RXPHMONITOR;
665 output [4:0] RXPHSLIPMONITOR;
666 output [5:0] RXHEADER;
667 output [63:0] RXDATA;
668 output [6:0] RXMONITOROUT;
669 output [7:0] RXCHARISCOMMA;
670 output [7:0] RXCHARISK;
671 output [7:0] RXDISPERR;
672 output [7:0] RXNOTINTABLE;
673 input CFGRESET;
674 input CLKRSVD0;
675 input CLKRSVD1;
676 input CPLLLOCKDETCLK;
677 input CPLLLOCKEN;
678 input CPLLPD;
679 input CPLLRESET;
680 input DMONFIFORESET;
681 input DMONITORCLK;
682 input DRPCLK;
683 input DRPEN;
684 input DRPWE;
685 input EYESCANMODE;
686 input EYESCANRESET;
687 input EYESCANTRIGGER;
688 input GTGREFCLK;
689 input GTHRXN;
690 input GTHRXP;
691 input GTNORTHREFCLK0;
692 input GTNORTHREFCLK1;
693 input GTREFCLK0;
694 input GTREFCLK1;
695 input GTRESETSEL;
696 input GTRXRESET;
697 input GTSOUTHREFCLK0;
698 input GTSOUTHREFCLK1;
699 input GTTXRESET;
700 input QPLLCLK;
701 input QPLLREFCLK;
702 input RESETOVRD;
703 input RX8B10BEN;
704 input RXBUFRESET;
705 input RXCDRFREQRESET;
706 input RXCDRHOLD;
707 input RXCDROVRDEN;
708 input RXCDRRESET;
709 input RXCDRRESETRSV;
710 input RXCHBONDEN;
711 input RXCHBONDMASTER;
712 input RXCHBONDSLAVE;
713 input RXCOMMADETEN;
714 input RXDDIEN;
715 input RXDFEAGCHOLD;
716 input RXDFEAGCOVRDEN;
717 input RXDFECM1EN;
718 input RXDFELFHOLD;
719 input RXDFELFOVRDEN;
720 input RXDFELPMRESET;
721 input RXDFESLIDETAPADAPTEN;
722 input RXDFESLIDETAPHOLD;
723 input RXDFESLIDETAPINITOVRDEN;
724 input RXDFESLIDETAPONLYADAPTEN;
725 input RXDFESLIDETAPOVRDEN;
726 input RXDFESLIDETAPSTROBE;
727 input RXDFETAP2HOLD;
728 input RXDFETAP2OVRDEN;
729 input RXDFETAP3HOLD;
730 input RXDFETAP3OVRDEN;
731 input RXDFETAP4HOLD;
732 input RXDFETAP4OVRDEN;
733 input RXDFETAP5HOLD;
734 input RXDFETAP5OVRDEN;
735 input RXDFETAP6HOLD;
736 input RXDFETAP6OVRDEN;
737 input RXDFETAP7HOLD;
738 input RXDFETAP7OVRDEN;
739 input RXDFEUTHOLD;
740 input RXDFEUTOVRDEN;
741 input RXDFEVPHOLD;
742 input RXDFEVPOVRDEN;
743 input RXDFEVSEN;
744 input RXDFEXYDEN;
745 input RXDLYBYPASS;
746 input RXDLYEN;
747 input RXDLYOVRDEN;
748 input RXDLYSRESET;
749 input RXGEARBOXSLIP;
750 input RXLPMEN;
751 input RXLPMHFHOLD;
752 input RXLPMHFOVRDEN;
753 input RXLPMLFHOLD;
754 input RXLPMLFKLOVRDEN;
755 input RXMCOMMAALIGNEN;
756 input RXOOBRESET;
757 input RXOSCALRESET;
758 input RXOSHOLD;
759 input RXOSINTEN;
760 input RXOSINTHOLD;
761 input RXOSINTNTRLEN;
762 input RXOSINTOVRDEN;
763 input RXOSINTSTROBE;
764 input RXOSINTTESTOVRDEN;
765 input RXOSOVRDEN;
766 input RXPCOMMAALIGNEN;
767 input RXPCSRESET;
768 input RXPHALIGN;
769 input RXPHALIGNEN;
770 input RXPHDLYPD;
771 input RXPHDLYRESET;
772 input RXPHOVRDEN;
773 input RXPMARESET;
774 input RXPOLARITY;
775 input RXPRBSCNTRESET;
776 input RXQPIEN;
777 input RXRATEMODE;
778 input RXSLIDE;
779 input RXSYNCALLIN;
780 input RXSYNCIN;
781 input RXSYNCMODE;
782 input RXUSERRDY;
783 input RXUSRCLK2;
784 input RXUSRCLK;
785 input SETERRSTATUS;
786 input SIGVALIDCLK;
787 input TX8B10BEN;
788 input TXCOMINIT;
789 input TXCOMSAS;
790 input TXCOMWAKE;
791 input TXDEEMPH;
792 input TXDETECTRX;
793 input TXDIFFPD;
794 input TXDLYBYPASS;
795 input TXDLYEN;
796 input TXDLYHOLD;
797 input TXDLYOVRDEN;
798 input TXDLYSRESET;
799 input TXDLYUPDOWN;
800 input TXELECIDLE;
801 input TXINHIBIT;
802 input TXPCSRESET;
803 input TXPDELECIDLEMODE;
804 input TXPHALIGN;
805 input TXPHALIGNEN;
806 input TXPHDLYPD;
807 input TXPHDLYRESET;
808 input TXPHDLYTSTCLK;
809 input TXPHINIT;
810 input TXPHOVRDEN;
811 input TXPIPPMEN;
812 input TXPIPPMOVRDEN;
813 input TXPIPPMPD;
814 input TXPIPPMSEL;
815 input TXPISOPD;
816 input TXPMARESET;
817 input TXPOLARITY;
818 input TXPOSTCURSORINV;
819 input TXPRBSFORCEERR;
820 input TXPRECURSORINV;
821 input TXQPIBIASEN;
822 input TXQPISTRONGPDOWN;
823 input TXQPIWEAKPUP;
824 input TXRATEMODE;
825 input TXSTARTSEQ;
826 input TXSWING;
827 input TXSYNCALLIN;
828 input TXSYNCIN;
829 input TXSYNCMODE;
830 input TXUSERRDY;
831 input TXUSRCLK2;
832 input TXUSRCLK;
833 input [13:0] RXADAPTSELTEST;
834 input [15:0] DRPDI;
835 input [15:0] GTRSVD;
836 input [15:0] PCSRSVDIN;
837 input [19:0] TSTIN;
838 input [1:0] RXELECIDLEMODE;
839 input [1:0] RXMONITORSEL;
840 input [1:0] RXPD;
841 input [1:0] RXSYSCLKSEL;
842 input [1:0] TXPD;
843 input [1:0] TXSYSCLKSEL;
844 input [2:0] CPLLREFCLKSEL;
845 input [2:0] LOOPBACK;
846 input [2:0] RXCHBONDLEVEL;
847 input [2:0] RXOUTCLKSEL;
848 input [2:0] RXPRBSSEL;
849 input [2:0] RXRATE;
850 input [2:0] TXBUFDIFFCTRL;
851 input [2:0] TXHEADER;
852 input [2:0] TXMARGIN;
853 input [2:0] TXOUTCLKSEL;
854 input [2:0] TXPRBSSEL;
855 input [2:0] TXRATE;
856 input [3:0] RXOSINTCFG;
857 input [3:0] RXOSINTID0;
858 input [3:0] TXDIFFCTRL;
859 input [4:0] PCSRSVDIN2;
860 input [4:0] PMARSVDIN;
861 input [4:0] RXCHBONDI;
862 input [4:0] RXDFEAGCTRL;
863 input [4:0] RXDFESLIDETAP;
864 input [4:0] TXPIPPMSTEPSIZE;
865 input [4:0] TXPOSTCURSOR;
866 input [4:0] TXPRECURSOR;
867 input [5:0] RXDFESLIDETAPID;
868 input [63:0] TXDATA;
869 input [6:0] TXMAINCURSOR;
870 input [6:0] TXSEQUENCE;
871 input [7:0] TX8B10BBYPASS;
872 input [7:0] TXCHARDISPMODE;
873 input [7:0] TXCHARDISPVAL;
874 input [7:0] TXCHARISK;
875 input [8:0] DRPADDR;
876 endmodule
877
878 module GTHE2_COMMON (...);
879 parameter [63:0] BIAS_CFG = 64'h0000040000001000;
880 parameter [31:0] COMMON_CFG = 32'h0000001C;
881 parameter [0:0] IS_DRPCLK_INVERTED = 1'b0;
882 parameter [0:0] IS_GTGREFCLK_INVERTED = 1'b0;
883 parameter [0:0] IS_QPLLLOCKDETCLK_INVERTED = 1'b0;
884 parameter [26:0] QPLL_CFG = 27'h0480181;
885 parameter [3:0] QPLL_CLKOUT_CFG = 4'b0000;
886 parameter [5:0] QPLL_COARSE_FREQ_OVRD = 6'b010000;
887 parameter [0:0] QPLL_COARSE_FREQ_OVRD_EN = 1'b0;
888 parameter [9:0] QPLL_CP = 10'b0000011111;
889 parameter [0:0] QPLL_CP_MONITOR_EN = 1'b0;
890 parameter [0:0] QPLL_DMONITOR_SEL = 1'b0;
891 parameter [9:0] QPLL_FBDIV = 10'b0000000000;
892 parameter [0:0] QPLL_FBDIV_MONITOR_EN = 1'b0;
893 parameter [0:0] QPLL_FBDIV_RATIO = 1'b0;
894 parameter [23:0] QPLL_INIT_CFG = 24'h000006;
895 parameter [15:0] QPLL_LOCK_CFG = 16'h01E8;
896 parameter [3:0] QPLL_LPF = 4'b1111;
897 parameter integer QPLL_REFCLK_DIV = 2;
898 parameter [0:0] QPLL_RP_COMP = 1'b0;
899 parameter [1:0] QPLL_VTRL_RESET = 2'b00;
900 parameter [1:0] RCAL_CFG = 2'b00;
901 parameter [15:0] RSVD_ATTR0 = 16'h0000;
902 parameter [15:0] RSVD_ATTR1 = 16'h0000;
903 parameter [2:0] SIM_QPLLREFCLK_SEL = 3'b001;
904 parameter SIM_RESET_SPEEDUP = "TRUE";
905 parameter SIM_VERSION = "1.1";
906 output DRPRDY;
907 output QPLLFBCLKLOST;
908 output QPLLLOCK;
909 output QPLLOUTCLK;
910 output QPLLOUTREFCLK;
911 output QPLLREFCLKLOST;
912 output REFCLKOUTMONITOR;
913 output [15:0] DRPDO;
914 output [15:0] PMARSVDOUT;
915 output [7:0] QPLLDMONITOR;
916 input BGBYPASSB;
917 input BGMONITORENB;
918 input BGPDB;
919 input BGRCALOVRDENB;
920 input DRPCLK;
921 input DRPEN;
922 input DRPWE;
923 input GTGREFCLK;
924 input GTNORTHREFCLK0;
925 input GTNORTHREFCLK1;
926 input GTREFCLK0;
927 input GTREFCLK1;
928 input GTSOUTHREFCLK0;
929 input GTSOUTHREFCLK1;
930 input QPLLLOCKDETCLK;
931 input QPLLLOCKEN;
932 input QPLLOUTRESET;
933 input QPLLPD;
934 input QPLLRESET;
935 input RCALENB;
936 input [15:0] DRPDI;
937 input [15:0] QPLLRSVD1;
938 input [2:0] QPLLREFCLKSEL;
939 input [4:0] BGRCALOVRD;
940 input [4:0] QPLLRSVD2;
941 input [7:0] DRPADDR;
942 input [7:0] PMARSVD;
943 endmodule
944
945 module GTPE2_CHANNEL (...);
946 parameter [0:0] ACJTAG_DEBUG_MODE = 1'b0;
947 parameter [0:0] ACJTAG_MODE = 1'b0;
948 parameter [0:0] ACJTAG_RESET = 1'b0;
949 parameter [19:0] ADAPT_CFG0 = 20'b00000000000000000000;
950 parameter ALIGN_COMMA_DOUBLE = "FALSE";
951 parameter [9:0] ALIGN_COMMA_ENABLE = 10'b0001111111;
952 parameter integer ALIGN_COMMA_WORD = 1;
953 parameter ALIGN_MCOMMA_DET = "TRUE";
954 parameter [9:0] ALIGN_MCOMMA_VALUE = 10'b1010000011;
955 parameter ALIGN_PCOMMA_DET = "TRUE";
956 parameter [9:0] ALIGN_PCOMMA_VALUE = 10'b0101111100;
957 parameter CBCC_DATA_SOURCE_SEL = "DECODED";
958 parameter [42:0] CFOK_CFG = 43'b1001001000000000000000001000000111010000000;
959 parameter [6:0] CFOK_CFG2 = 7'b0100000;
960 parameter [6:0] CFOK_CFG3 = 7'b0100000;
961 parameter [0:0] CFOK_CFG4 = 1'b0;
962 parameter [1:0] CFOK_CFG5 = 2'b00;
963 parameter [3:0] CFOK_CFG6 = 4'b0000;
964 parameter CHAN_BOND_KEEP_ALIGN = "FALSE";
965 parameter integer CHAN_BOND_MAX_SKEW = 7;
966 parameter [9:0] CHAN_BOND_SEQ_1_1 = 10'b0101111100;
967 parameter [9:0] CHAN_BOND_SEQ_1_2 = 10'b0000000000;
968 parameter [9:0] CHAN_BOND_SEQ_1_3 = 10'b0000000000;
969 parameter [9:0] CHAN_BOND_SEQ_1_4 = 10'b0000000000;
970 parameter [3:0] CHAN_BOND_SEQ_1_ENABLE = 4'b1111;
971 parameter [9:0] CHAN_BOND_SEQ_2_1 = 10'b0100000000;
972 parameter [9:0] CHAN_BOND_SEQ_2_2 = 10'b0100000000;
973 parameter [9:0] CHAN_BOND_SEQ_2_3 = 10'b0100000000;
974 parameter [9:0] CHAN_BOND_SEQ_2_4 = 10'b0100000000;
975 parameter [3:0] CHAN_BOND_SEQ_2_ENABLE = 4'b1111;
976 parameter CHAN_BOND_SEQ_2_USE = "FALSE";
977 parameter integer CHAN_BOND_SEQ_LEN = 1;
978 parameter [0:0] CLK_COMMON_SWING = 1'b0;
979 parameter CLK_CORRECT_USE = "TRUE";
980 parameter CLK_COR_KEEP_IDLE = "FALSE";
981 parameter integer CLK_COR_MAX_LAT = 20;
982 parameter integer CLK_COR_MIN_LAT = 18;
983 parameter CLK_COR_PRECEDENCE = "TRUE";
984 parameter integer CLK_COR_REPEAT_WAIT = 0;
985 parameter [9:0] CLK_COR_SEQ_1_1 = 10'b0100011100;
986 parameter [9:0] CLK_COR_SEQ_1_2 = 10'b0000000000;
987 parameter [9:0] CLK_COR_SEQ_1_3 = 10'b0000000000;
988 parameter [9:0] CLK_COR_SEQ_1_4 = 10'b0000000000;
989 parameter [3:0] CLK_COR_SEQ_1_ENABLE = 4'b1111;
990 parameter [9:0] CLK_COR_SEQ_2_1 = 10'b0100000000;
991 parameter [9:0] CLK_COR_SEQ_2_2 = 10'b0100000000;
992 parameter [9:0] CLK_COR_SEQ_2_3 = 10'b0100000000;
993 parameter [9:0] CLK_COR_SEQ_2_4 = 10'b0100000000;
994 parameter [3:0] CLK_COR_SEQ_2_ENABLE = 4'b1111;
995 parameter CLK_COR_SEQ_2_USE = "FALSE";
996 parameter integer CLK_COR_SEQ_LEN = 1;
997 parameter DEC_MCOMMA_DETECT = "TRUE";
998 parameter DEC_PCOMMA_DETECT = "TRUE";
999 parameter DEC_VALID_COMMA_ONLY = "TRUE";
1000 parameter [23:0] DMONITOR_CFG = 24'h000A00;
1001 parameter [0:0] ES_CLK_PHASE_SEL = 1'b0;
1002 parameter [5:0] ES_CONTROL = 6'b000000;
1003 parameter ES_ERRDET_EN = "FALSE";
1004 parameter ES_EYE_SCAN_EN = "FALSE";
1005 parameter [11:0] ES_HORZ_OFFSET = 12'h010;
1006 parameter [9:0] ES_PMA_CFG = 10'b0000000000;
1007 parameter [4:0] ES_PRESCALE = 5'b00000;
1008 parameter [79:0] ES_QUALIFIER = 80'h00000000000000000000;
1009 parameter [79:0] ES_QUAL_MASK = 80'h00000000000000000000;
1010 parameter [79:0] ES_SDATA_MASK = 80'h00000000000000000000;
1011 parameter [8:0] ES_VERT_OFFSET = 9'b000000000;
1012 parameter [3:0] FTS_DESKEW_SEQ_ENABLE = 4'b1111;
1013 parameter [3:0] FTS_LANE_DESKEW_CFG = 4'b1111;
1014 parameter FTS_LANE_DESKEW_EN = "FALSE";
1015 parameter [2:0] GEARBOX_MODE = 3'b000;
1016 parameter [0:0] IS_CLKRSVD0_INVERTED = 1'b0;
1017 parameter [0:0] IS_CLKRSVD1_INVERTED = 1'b0;
1018 parameter [0:0] IS_DMONITORCLK_INVERTED = 1'b0;
1019 parameter [0:0] IS_DRPCLK_INVERTED = 1'b0;
1020 parameter [0:0] IS_RXUSRCLK2_INVERTED = 1'b0;
1021 parameter [0:0] IS_RXUSRCLK_INVERTED = 1'b0;
1022 parameter [0:0] IS_SIGVALIDCLK_INVERTED = 1'b0;
1023 parameter [0:0] IS_TXPHDLYTSTCLK_INVERTED = 1'b0;
1024 parameter [0:0] IS_TXUSRCLK2_INVERTED = 1'b0;
1025 parameter [0:0] IS_TXUSRCLK_INVERTED = 1'b0;
1026 parameter [0:0] LOOPBACK_CFG = 1'b0;
1027 parameter [1:0] OUTREFCLK_SEL_INV = 2'b11;
1028 parameter PCS_PCIE_EN = "FALSE";
1029 parameter [47:0] PCS_RSVD_ATTR = 48'h000000000000;
1030 parameter [11:0] PD_TRANS_TIME_FROM_P2 = 12'h03C;
1031 parameter [7:0] PD_TRANS_TIME_NONE_P2 = 8'h19;
1032 parameter [7:0] PD_TRANS_TIME_TO_P2 = 8'h64;
1033 parameter [0:0] PMA_LOOPBACK_CFG = 1'b0;
1034 parameter [31:0] PMA_RSV = 32'h00000333;
1035 parameter [31:0] PMA_RSV2 = 32'h00002050;
1036 parameter [1:0] PMA_RSV3 = 2'b00;
1037 parameter [3:0] PMA_RSV4 = 4'b0000;
1038 parameter [0:0] PMA_RSV5 = 1'b0;
1039 parameter [0:0] PMA_RSV6 = 1'b0;
1040 parameter [0:0] PMA_RSV7 = 1'b0;
1041 parameter [4:0] RXBUFRESET_TIME = 5'b00001;
1042 parameter RXBUF_ADDR_MODE = "FULL";
1043 parameter [3:0] RXBUF_EIDLE_HI_CNT = 4'b1000;
1044 parameter [3:0] RXBUF_EIDLE_LO_CNT = 4'b0000;
1045 parameter RXBUF_EN = "TRUE";
1046 parameter RXBUF_RESET_ON_CB_CHANGE = "TRUE";
1047 parameter RXBUF_RESET_ON_COMMAALIGN = "FALSE";
1048 parameter RXBUF_RESET_ON_EIDLE = "FALSE";
1049 parameter RXBUF_RESET_ON_RATE_CHANGE = "TRUE";
1050 parameter integer RXBUF_THRESH_OVFLW = 61;
1051 parameter RXBUF_THRESH_OVRD = "FALSE";
1052 parameter integer RXBUF_THRESH_UNDFLW = 4;
1053 parameter [4:0] RXCDRFREQRESET_TIME = 5'b00001;
1054 parameter [4:0] RXCDRPHRESET_TIME = 5'b00001;
1055 parameter [82:0] RXCDR_CFG = 83'h0000107FE406001041010;
1056 parameter [0:0] RXCDR_FR_RESET_ON_EIDLE = 1'b0;
1057 parameter [0:0] RXCDR_HOLD_DURING_EIDLE = 1'b0;
1058 parameter [5:0] RXCDR_LOCK_CFG = 6'b001001;
1059 parameter [0:0] RXCDR_PH_RESET_ON_EIDLE = 1'b0;
1060 parameter [15:0] RXDLY_CFG = 16'h0010;
1061 parameter [8:0] RXDLY_LCFG = 9'h020;
1062 parameter [15:0] RXDLY_TAP_CFG = 16'h0000;
1063 parameter RXGEARBOX_EN = "FALSE";
1064 parameter [4:0] RXISCANRESET_TIME = 5'b00001;
1065 parameter [6:0] RXLPMRESET_TIME = 7'b0001111;
1066 parameter [0:0] RXLPM_BIAS_STARTUP_DISABLE = 1'b0;
1067 parameter [3:0] RXLPM_CFG = 4'b0110;
1068 parameter [0:0] RXLPM_CFG1 = 1'b0;
1069 parameter [0:0] RXLPM_CM_CFG = 1'b0;
1070 parameter [8:0] RXLPM_GC_CFG = 9'b111100010;
1071 parameter [2:0] RXLPM_GC_CFG2 = 3'b001;
1072 parameter [13:0] RXLPM_HF_CFG = 14'b00001111110000;
1073 parameter [4:0] RXLPM_HF_CFG2 = 5'b01010;
1074 parameter [3:0] RXLPM_HF_CFG3 = 4'b0000;
1075 parameter [0:0] RXLPM_HOLD_DURING_EIDLE = 1'b0;
1076 parameter [0:0] RXLPM_INCM_CFG = 1'b0;
1077 parameter [0:0] RXLPM_IPCM_CFG = 1'b0;
1078 parameter [17:0] RXLPM_LF_CFG = 18'b000000001111110000;
1079 parameter [4:0] RXLPM_LF_CFG2 = 5'b01010;
1080 parameter [2:0] RXLPM_OSINT_CFG = 3'b100;
1081 parameter [6:0] RXOOB_CFG = 7'b0000110;
1082 parameter RXOOB_CLK_CFG = "PMA";
1083 parameter [4:0] RXOSCALRESET_TIME = 5'b00011;
1084 parameter [4:0] RXOSCALRESET_TIMEOUT = 5'b00000;
1085 parameter integer RXOUT_DIV = 2;
1086 parameter [4:0] RXPCSRESET_TIME = 5'b00001;
1087 parameter [23:0] RXPHDLY_CFG = 24'h084000;
1088 parameter [23:0] RXPH_CFG = 24'hC00002;
1089 parameter [4:0] RXPH_MONITOR_SEL = 5'b00000;
1090 parameter [2:0] RXPI_CFG0 = 3'b000;
1091 parameter [0:0] RXPI_CFG1 = 1'b0;
1092 parameter [0:0] RXPI_CFG2 = 1'b0;
1093 parameter [4:0] RXPMARESET_TIME = 5'b00011;
1094 parameter [0:0] RXPRBS_ERR_LOOPBACK = 1'b0;
1095 parameter integer RXSLIDE_AUTO_WAIT = 7;
1096 parameter RXSLIDE_MODE = "OFF";
1097 parameter [0:0] RXSYNC_MULTILANE = 1'b0;
1098 parameter [0:0] RXSYNC_OVRD = 1'b0;
1099 parameter [0:0] RXSYNC_SKIP_DA = 1'b0;
1100 parameter [15:0] RX_BIAS_CFG = 16'b0000111100110011;
1101 parameter [5:0] RX_BUFFER_CFG = 6'b000000;
1102 parameter integer RX_CLK25_DIV = 7;
1103 parameter [0:0] RX_CLKMUX_EN = 1'b1;
1104 parameter [1:0] RX_CM_SEL = 2'b11;
1105 parameter [3:0] RX_CM_TRIM = 4'b0100;
1106 parameter integer RX_DATA_WIDTH = 20;
1107 parameter [5:0] RX_DDI_SEL = 6'b000000;
1108 parameter [13:0] RX_DEBUG_CFG = 14'b00000000000000;
1109 parameter RX_DEFER_RESET_BUF_EN = "TRUE";
1110 parameter RX_DISPERR_SEQ_MATCH = "TRUE";
1111 parameter [12:0] RX_OS_CFG = 13'b0001111110000;
1112 parameter integer RX_SIG_VALID_DLY = 10;
1113 parameter RX_XCLK_SEL = "RXREC";
1114 parameter integer SAS_MAX_COM = 64;
1115 parameter integer SAS_MIN_COM = 36;
1116 parameter [3:0] SATA_BURST_SEQ_LEN = 4'b1111;
1117 parameter [2:0] SATA_BURST_VAL = 3'b100;
1118 parameter [2:0] SATA_EIDLE_VAL = 3'b100;
1119 parameter integer SATA_MAX_BURST = 8;
1120 parameter integer SATA_MAX_INIT = 21;
1121 parameter integer SATA_MAX_WAKE = 7;
1122 parameter integer SATA_MIN_BURST = 4;
1123 parameter integer SATA_MIN_INIT = 12;
1124 parameter integer SATA_MIN_WAKE = 4;
1125 parameter SATA_PLL_CFG = "VCO_3000MHZ";
1126 parameter SHOW_REALIGN_COMMA = "TRUE";
1127 parameter SIM_RECEIVER_DETECT_PASS = "TRUE";
1128 parameter SIM_RESET_SPEEDUP = "TRUE";
1129 parameter SIM_TX_EIDLE_DRIVE_LEVEL = "X";
1130 parameter SIM_VERSION = "1.0";
1131 parameter [14:0] TERM_RCAL_CFG = 15'b100001000010000;
1132 parameter [2:0] TERM_RCAL_OVRD = 3'b000;
1133 parameter [7:0] TRANS_TIME_RATE = 8'h0E;
1134 parameter [31:0] TST_RSV = 32'h00000000;
1135 parameter TXBUF_EN = "TRUE";
1136 parameter TXBUF_RESET_ON_RATE_CHANGE = "FALSE";
1137 parameter [15:0] TXDLY_CFG = 16'h0010;
1138 parameter [8:0] TXDLY_LCFG = 9'h020;
1139 parameter [15:0] TXDLY_TAP_CFG = 16'h0000;
1140 parameter TXGEARBOX_EN = "FALSE";
1141 parameter [0:0] TXOOB_CFG = 1'b0;
1142 parameter integer TXOUT_DIV = 2;
1143 parameter [4:0] TXPCSRESET_TIME = 5'b00001;
1144 parameter [23:0] TXPHDLY_CFG = 24'h084000;
1145 parameter [15:0] TXPH_CFG = 16'h0400;
1146 parameter [4:0] TXPH_MONITOR_SEL = 5'b00000;
1147 parameter [1:0] TXPI_CFG0 = 2'b00;
1148 parameter [1:0] TXPI_CFG1 = 2'b00;
1149 parameter [1:0] TXPI_CFG2 = 2'b00;
1150 parameter [0:0] TXPI_CFG3 = 1'b0;
1151 parameter [0:0] TXPI_CFG4 = 1'b0;
1152 parameter [2:0] TXPI_CFG5 = 3'b000;
1153 parameter [0:0] TXPI_GREY_SEL = 1'b0;
1154 parameter [0:0] TXPI_INVSTROBE_SEL = 1'b0;
1155 parameter TXPI_PPMCLK_SEL = "TXUSRCLK2";
1156 parameter [7:0] TXPI_PPM_CFG = 8'b00000000;
1157 parameter [2:0] TXPI_SYNFREQ_PPM = 3'b000;
1158 parameter [4:0] TXPMARESET_TIME = 5'b00001;
1159 parameter [0:0] TXSYNC_MULTILANE = 1'b0;
1160 parameter [0:0] TXSYNC_OVRD = 1'b0;
1161 parameter [0:0] TXSYNC_SKIP_DA = 1'b0;
1162 parameter integer TX_CLK25_DIV = 7;
1163 parameter [0:0] TX_CLKMUX_EN = 1'b1;
1164 parameter integer TX_DATA_WIDTH = 20;
1165 parameter [5:0] TX_DEEMPH0 = 6'b000000;
1166 parameter [5:0] TX_DEEMPH1 = 6'b000000;
1167 parameter TX_DRIVE_MODE = "DIRECT";
1168 parameter [2:0] TX_EIDLE_ASSERT_DELAY = 3'b110;
1169 parameter [2:0] TX_EIDLE_DEASSERT_DELAY = 3'b100;
1170 parameter TX_LOOPBACK_DRIVE_HIZ = "FALSE";
1171 parameter [0:0] TX_MAINCURSOR_SEL = 1'b0;
1172 parameter [6:0] TX_MARGIN_FULL_0 = 7'b1001110;
1173 parameter [6:0] TX_MARGIN_FULL_1 = 7'b1001001;
1174 parameter [6:0] TX_MARGIN_FULL_2 = 7'b1000101;
1175 parameter [6:0] TX_MARGIN_FULL_3 = 7'b1000010;
1176 parameter [6:0] TX_MARGIN_FULL_4 = 7'b1000000;
1177 parameter [6:0] TX_MARGIN_LOW_0 = 7'b1000110;
1178 parameter [6:0] TX_MARGIN_LOW_1 = 7'b1000100;
1179 parameter [6:0] TX_MARGIN_LOW_2 = 7'b1000010;
1180 parameter [6:0] TX_MARGIN_LOW_3 = 7'b1000000;
1181 parameter [6:0] TX_MARGIN_LOW_4 = 7'b1000000;
1182 parameter [0:0] TX_PREDRIVER_MODE = 1'b0;
1183 parameter [13:0] TX_RXDETECT_CFG = 14'h1832;
1184 parameter [2:0] TX_RXDETECT_REF = 3'b100;
1185 parameter TX_XCLK_SEL = "TXUSR";
1186 parameter [0:0] UCODEER_CLR = 1'b0;
1187 parameter [0:0] USE_PCS_CLK_PHASE_SEL = 1'b0;
1188 output DRPRDY;
1189 output EYESCANDATAERROR;
1190 output GTPTXN;
1191 output GTPTXP;
1192 output PHYSTATUS;
1193 output PMARSVDOUT0;
1194 output PMARSVDOUT1;
1195 output RXBYTEISALIGNED;
1196 output RXBYTEREALIGN;
1197 output RXCDRLOCK;
1198 output RXCHANBONDSEQ;
1199 output RXCHANISALIGNED;
1200 output RXCHANREALIGN;
1201 output RXCOMINITDET;
1202 output RXCOMMADET;
1203 output RXCOMSASDET;
1204 output RXCOMWAKEDET;
1205 output RXDLYSRESETDONE;
1206 output RXELECIDLE;
1207 output RXHEADERVALID;
1208 output RXOSINTDONE;
1209 output RXOSINTSTARTED;
1210 output RXOSINTSTROBEDONE;
1211 output RXOSINTSTROBESTARTED;
1212 output RXOUTCLK;
1213 output RXOUTCLKFABRIC;
1214 output RXOUTCLKPCS;
1215 output RXPHALIGNDONE;
1216 output RXPMARESETDONE;
1217 output RXPRBSERR;
1218 output RXRATEDONE;
1219 output RXRESETDONE;
1220 output RXSYNCDONE;
1221 output RXSYNCOUT;
1222 output RXVALID;
1223 output TXCOMFINISH;
1224 output TXDLYSRESETDONE;
1225 output TXGEARBOXREADY;
1226 output TXOUTCLK;
1227 output TXOUTCLKFABRIC;
1228 output TXOUTCLKPCS;
1229 output TXPHALIGNDONE;
1230 output TXPHINITDONE;
1231 output TXPMARESETDONE;
1232 output TXRATEDONE;
1233 output TXRESETDONE;
1234 output TXSYNCDONE;
1235 output TXSYNCOUT;
1236 output [14:0] DMONITOROUT;
1237 output [15:0] DRPDO;
1238 output [15:0] PCSRSVDOUT;
1239 output [1:0] RXCLKCORCNT;
1240 output [1:0] RXDATAVALID;
1241 output [1:0] RXSTARTOFSEQ;
1242 output [1:0] TXBUFSTATUS;
1243 output [2:0] RXBUFSTATUS;
1244 output [2:0] RXHEADER;
1245 output [2:0] RXSTATUS;
1246 output [31:0] RXDATA;
1247 output [3:0] RXCHARISCOMMA;
1248 output [3:0] RXCHARISK;
1249 output [3:0] RXCHBONDO;
1250 output [3:0] RXDISPERR;
1251 output [3:0] RXNOTINTABLE;
1252 output [4:0] RXPHMONITOR;
1253 output [4:0] RXPHSLIPMONITOR;
1254 input CFGRESET;
1255 input CLKRSVD0;
1256 input CLKRSVD1;
1257 input DMONFIFORESET;
1258 input DMONITORCLK;
1259 input DRPCLK;
1260 input DRPEN;
1261 input DRPWE;
1262 input EYESCANMODE;
1263 input EYESCANRESET;
1264 input EYESCANTRIGGER;
1265 input GTPRXN;
1266 input GTPRXP;
1267 input GTRESETSEL;
1268 input GTRXRESET;
1269 input GTTXRESET;
1270 input PLL0CLK;
1271 input PLL0REFCLK;
1272 input PLL1CLK;
1273 input PLL1REFCLK;
1274 input PMARSVDIN0;
1275 input PMARSVDIN1;
1276 input PMARSVDIN2;
1277 input PMARSVDIN3;
1278 input PMARSVDIN4;
1279 input RESETOVRD;
1280 input RX8B10BEN;
1281 input RXBUFRESET;
1282 input RXCDRFREQRESET;
1283 input RXCDRHOLD;
1284 input RXCDROVRDEN;
1285 input RXCDRRESET;
1286 input RXCDRRESETRSV;
1287 input RXCHBONDEN;
1288 input RXCHBONDMASTER;
1289 input RXCHBONDSLAVE;
1290 input RXCOMMADETEN;
1291 input RXDDIEN;
1292 input RXDFEXYDEN;
1293 input RXDLYBYPASS;
1294 input RXDLYEN;
1295 input RXDLYOVRDEN;
1296 input RXDLYSRESET;
1297 input RXGEARBOXSLIP;
1298 input RXLPMHFHOLD;
1299 input RXLPMHFOVRDEN;
1300 input RXLPMLFHOLD;
1301 input RXLPMLFOVRDEN;
1302 input RXLPMOSINTNTRLEN;
1303 input RXLPMRESET;
1304 input RXMCOMMAALIGNEN;
1305 input RXOOBRESET;
1306 input RXOSCALRESET;
1307 input RXOSHOLD;
1308 input RXOSINTEN;
1309 input RXOSINTHOLD;
1310 input RXOSINTNTRLEN;
1311 input RXOSINTOVRDEN;
1312 input RXOSINTPD;
1313 input RXOSINTSTROBE;
1314 input RXOSINTTESTOVRDEN;
1315 input RXOSOVRDEN;
1316 input RXPCOMMAALIGNEN;
1317 input RXPCSRESET;
1318 input RXPHALIGN;
1319 input RXPHALIGNEN;
1320 input RXPHDLYPD;
1321 input RXPHDLYRESET;
1322 input RXPHOVRDEN;
1323 input RXPMARESET;
1324 input RXPOLARITY;
1325 input RXPRBSCNTRESET;
1326 input RXRATEMODE;
1327 input RXSLIDE;
1328 input RXSYNCALLIN;
1329 input RXSYNCIN;
1330 input RXSYNCMODE;
1331 input RXUSERRDY;
1332 input RXUSRCLK2;
1333 input RXUSRCLK;
1334 input SETERRSTATUS;
1335 input SIGVALIDCLK;
1336 input TX8B10BEN;
1337 input TXCOMINIT;
1338 input TXCOMSAS;
1339 input TXCOMWAKE;
1340 input TXDEEMPH;
1341 input TXDETECTRX;
1342 input TXDIFFPD;
1343 input TXDLYBYPASS;
1344 input TXDLYEN;
1345 input TXDLYHOLD;
1346 input TXDLYOVRDEN;
1347 input TXDLYSRESET;
1348 input TXDLYUPDOWN;
1349 input TXELECIDLE;
1350 input TXINHIBIT;
1351 input TXPCSRESET;
1352 input TXPDELECIDLEMODE;
1353 input TXPHALIGN;
1354 input TXPHALIGNEN;
1355 input TXPHDLYPD;
1356 input TXPHDLYRESET;
1357 input TXPHDLYTSTCLK;
1358 input TXPHINIT;
1359 input TXPHOVRDEN;
1360 input TXPIPPMEN;
1361 input TXPIPPMOVRDEN;
1362 input TXPIPPMPD;
1363 input TXPIPPMSEL;
1364 input TXPISOPD;
1365 input TXPMARESET;
1366 input TXPOLARITY;
1367 input TXPOSTCURSORINV;
1368 input TXPRBSFORCEERR;
1369 input TXPRECURSORINV;
1370 input TXRATEMODE;
1371 input TXSTARTSEQ;
1372 input TXSWING;
1373 input TXSYNCALLIN;
1374 input TXSYNCIN;
1375 input TXSYNCMODE;
1376 input TXUSERRDY;
1377 input TXUSRCLK2;
1378 input TXUSRCLK;
1379 input [13:0] RXADAPTSELTEST;
1380 input [15:0] DRPDI;
1381 input [15:0] GTRSVD;
1382 input [15:0] PCSRSVDIN;
1383 input [19:0] TSTIN;
1384 input [1:0] RXELECIDLEMODE;
1385 input [1:0] RXPD;
1386 input [1:0] RXSYSCLKSEL;
1387 input [1:0] TXPD;
1388 input [1:0] TXSYSCLKSEL;
1389 input [2:0] LOOPBACK;
1390 input [2:0] RXCHBONDLEVEL;
1391 input [2:0] RXOUTCLKSEL;
1392 input [2:0] RXPRBSSEL;
1393 input [2:0] RXRATE;
1394 input [2:0] TXBUFDIFFCTRL;
1395 input [2:0] TXHEADER;
1396 input [2:0] TXMARGIN;
1397 input [2:0] TXOUTCLKSEL;
1398 input [2:0] TXPRBSSEL;
1399 input [2:0] TXRATE;
1400 input [31:0] TXDATA;
1401 input [3:0] RXCHBONDI;
1402 input [3:0] RXOSINTCFG;
1403 input [3:0] RXOSINTID0;
1404 input [3:0] TX8B10BBYPASS;
1405 input [3:0] TXCHARDISPMODE;
1406 input [3:0] TXCHARDISPVAL;
1407 input [3:0] TXCHARISK;
1408 input [3:0] TXDIFFCTRL;
1409 input [4:0] TXPIPPMSTEPSIZE;
1410 input [4:0] TXPOSTCURSOR;
1411 input [4:0] TXPRECURSOR;
1412 input [6:0] TXMAINCURSOR;
1413 input [6:0] TXSEQUENCE;
1414 input [8:0] DRPADDR;
1415 endmodule
1416
1417 module GTPE2_COMMON (...);
1418 parameter [63:0] BIAS_CFG = 64'h0000000000000000;
1419 parameter [31:0] COMMON_CFG = 32'h00000000;
1420 parameter [0:0] IS_DRPCLK_INVERTED = 1'b0;
1421 parameter [0:0] IS_GTGREFCLK0_INVERTED = 1'b0;
1422 parameter [0:0] IS_GTGREFCLK1_INVERTED = 1'b0;
1423 parameter [0:0] IS_PLL0LOCKDETCLK_INVERTED = 1'b0;
1424 parameter [0:0] IS_PLL1LOCKDETCLK_INVERTED = 1'b0;
1425 parameter [26:0] PLL0_CFG = 27'h01F03DC;
1426 parameter [0:0] PLL0_DMON_CFG = 1'b0;
1427 parameter integer PLL0_FBDIV = 4;
1428 parameter integer PLL0_FBDIV_45 = 5;
1429 parameter [23:0] PLL0_INIT_CFG = 24'h00001E;
1430 parameter [8:0] PLL0_LOCK_CFG = 9'h1E8;
1431 parameter integer PLL0_REFCLK_DIV = 1;
1432 parameter [26:0] PLL1_CFG = 27'h01F03DC;
1433 parameter [0:0] PLL1_DMON_CFG = 1'b0;
1434 parameter integer PLL1_FBDIV = 4;
1435 parameter integer PLL1_FBDIV_45 = 5;
1436 parameter [23:0] PLL1_INIT_CFG = 24'h00001E;
1437 parameter [8:0] PLL1_LOCK_CFG = 9'h1E8;
1438 parameter integer PLL1_REFCLK_DIV = 1;
1439 parameter [7:0] PLL_CLKOUT_CFG = 8'b00000000;
1440 parameter [15:0] RSVD_ATTR0 = 16'h0000;
1441 parameter [15:0] RSVD_ATTR1 = 16'h0000;
1442 parameter [2:0] SIM_PLL0REFCLK_SEL = 3'b001;
1443 parameter [2:0] SIM_PLL1REFCLK_SEL = 3'b001;
1444 parameter SIM_RESET_SPEEDUP = "TRUE";
1445 parameter SIM_VERSION = "1.0";
1446 output DRPRDY;
1447 output PLL0FBCLKLOST;
1448 output PLL0LOCK;
1449 output PLL0OUTCLK;
1450 output PLL0OUTREFCLK;
1451 output PLL0REFCLKLOST;
1452 output PLL1FBCLKLOST;
1453 output PLL1LOCK;
1454 output PLL1OUTCLK;
1455 output PLL1OUTREFCLK;
1456 output PLL1REFCLKLOST;
1457 output REFCLKOUTMONITOR0;
1458 output REFCLKOUTMONITOR1;
1459 output [15:0] DRPDO;
1460 output [15:0] PMARSVDOUT;
1461 output [7:0] DMONITOROUT;
1462 input BGBYPASSB;
1463 input BGMONITORENB;
1464 input BGPDB;
1465 input BGRCALOVRDENB;
1466 input DRPCLK;
1467 input DRPEN;
1468 input DRPWE;
1469 input GTEASTREFCLK0;
1470 input GTEASTREFCLK1;
1471 input GTGREFCLK0;
1472 input GTGREFCLK1;
1473 input GTREFCLK0;
1474 input GTREFCLK1;
1475 input GTWESTREFCLK0;
1476 input GTWESTREFCLK1;
1477 input PLL0LOCKDETCLK;
1478 input PLL0LOCKEN;
1479 input PLL0PD;
1480 input PLL0RESET;
1481 input PLL1LOCKDETCLK;
1482 input PLL1LOCKEN;
1483 input PLL1PD;
1484 input PLL1RESET;
1485 input RCALENB;
1486 input [15:0] DRPDI;
1487 input [15:0] PLLRSVD1;
1488 input [2:0] PLL0REFCLKSEL;
1489 input [2:0] PLL1REFCLKSEL;
1490 input [4:0] BGRCALOVRD;
1491 input [4:0] PLLRSVD2;
1492 input [7:0] DRPADDR;
1493 input [7:0] PMARSVD;
1494 endmodule
1495
1496 module GTXE2_CHANNEL (...);
1497 parameter ALIGN_COMMA_DOUBLE = "FALSE";
1498 parameter [9:0] ALIGN_COMMA_ENABLE = 10'b0001111111;
1499 parameter integer ALIGN_COMMA_WORD = 1;
1500 parameter ALIGN_MCOMMA_DET = "TRUE";
1501 parameter [9:0] ALIGN_MCOMMA_VALUE = 10'b1010000011;
1502 parameter ALIGN_PCOMMA_DET = "TRUE";
1503 parameter [9:0] ALIGN_PCOMMA_VALUE = 10'b0101111100;
1504 parameter CBCC_DATA_SOURCE_SEL = "DECODED";
1505 parameter CHAN_BOND_KEEP_ALIGN = "FALSE";
1506 parameter integer CHAN_BOND_MAX_SKEW = 7;
1507 parameter [9:0] CHAN_BOND_SEQ_1_1 = 10'b0101111100;
1508 parameter [9:0] CHAN_BOND_SEQ_1_2 = 10'b0000000000;
1509 parameter [9:0] CHAN_BOND_SEQ_1_3 = 10'b0000000000;
1510 parameter [9:0] CHAN_BOND_SEQ_1_4 = 10'b0000000000;
1511 parameter [3:0] CHAN_BOND_SEQ_1_ENABLE = 4'b1111;
1512 parameter [9:0] CHAN_BOND_SEQ_2_1 = 10'b0100000000;
1513 parameter [9:0] CHAN_BOND_SEQ_2_2 = 10'b0100000000;
1514 parameter [9:0] CHAN_BOND_SEQ_2_3 = 10'b0100000000;
1515 parameter [9:0] CHAN_BOND_SEQ_2_4 = 10'b0100000000;
1516 parameter [3:0] CHAN_BOND_SEQ_2_ENABLE = 4'b1111;
1517 parameter CHAN_BOND_SEQ_2_USE = "FALSE";
1518 parameter integer CHAN_BOND_SEQ_LEN = 1;
1519 parameter CLK_CORRECT_USE = "TRUE";
1520 parameter CLK_COR_KEEP_IDLE = "FALSE";
1521 parameter integer CLK_COR_MAX_LAT = 20;
1522 parameter integer CLK_COR_MIN_LAT = 18;
1523 parameter CLK_COR_PRECEDENCE = "TRUE";
1524 parameter integer CLK_COR_REPEAT_WAIT = 0;
1525 parameter [9:0] CLK_COR_SEQ_1_1 = 10'b0100011100;
1526 parameter [9:0] CLK_COR_SEQ_1_2 = 10'b0000000000;
1527 parameter [9:0] CLK_COR_SEQ_1_3 = 10'b0000000000;
1528 parameter [9:0] CLK_COR_SEQ_1_4 = 10'b0000000000;
1529 parameter [3:0] CLK_COR_SEQ_1_ENABLE = 4'b1111;
1530 parameter [9:0] CLK_COR_SEQ_2_1 = 10'b0100000000;
1531 parameter [9:0] CLK_COR_SEQ_2_2 = 10'b0100000000;
1532 parameter [9:0] CLK_COR_SEQ_2_3 = 10'b0100000000;
1533 parameter [9:0] CLK_COR_SEQ_2_4 = 10'b0100000000;
1534 parameter [3:0] CLK_COR_SEQ_2_ENABLE = 4'b1111;
1535 parameter CLK_COR_SEQ_2_USE = "FALSE";
1536 parameter integer CLK_COR_SEQ_LEN = 1;
1537 parameter [23:0] CPLL_CFG = 24'hB007D8;
1538 parameter integer CPLL_FBDIV = 4;
1539 parameter integer CPLL_FBDIV_45 = 5;
1540 parameter [23:0] CPLL_INIT_CFG = 24'h00001E;
1541 parameter [15:0] CPLL_LOCK_CFG = 16'h01E8;
1542 parameter integer CPLL_REFCLK_DIV = 1;
1543 parameter DEC_MCOMMA_DETECT = "TRUE";
1544 parameter DEC_PCOMMA_DETECT = "TRUE";
1545 parameter DEC_VALID_COMMA_ONLY = "TRUE";
1546 parameter [23:0] DMONITOR_CFG = 24'h000A00;
1547 parameter [5:0] ES_CONTROL = 6'b000000;
1548 parameter ES_ERRDET_EN = "FALSE";
1549 parameter ES_EYE_SCAN_EN = "FALSE";
1550 parameter [11:0] ES_HORZ_OFFSET = 12'h000;
1551 parameter [9:0] ES_PMA_CFG = 10'b0000000000;
1552 parameter [4:0] ES_PRESCALE = 5'b00000;
1553 parameter [79:0] ES_QUALIFIER = 80'h00000000000000000000;
1554 parameter [79:0] ES_QUAL_MASK = 80'h00000000000000000000;
1555 parameter [79:0] ES_SDATA_MASK = 80'h00000000000000000000;
1556 parameter [8:0] ES_VERT_OFFSET = 9'b000000000;
1557 parameter [3:0] FTS_DESKEW_SEQ_ENABLE = 4'b1111;
1558 parameter [3:0] FTS_LANE_DESKEW_CFG = 4'b1111;
1559 parameter FTS_LANE_DESKEW_EN = "FALSE";
1560 parameter [2:0] GEARBOX_MODE = 3'b000;
1561 parameter [0:0] IS_CPLLLOCKDETCLK_INVERTED = 1'b0;
1562 parameter [0:0] IS_DRPCLK_INVERTED = 1'b0;
1563 parameter [0:0] IS_GTGREFCLK_INVERTED = 1'b0;
1564 parameter [0:0] IS_RXUSRCLK2_INVERTED = 1'b0;
1565 parameter [0:0] IS_RXUSRCLK_INVERTED = 1'b0;
1566 parameter [0:0] IS_TXPHDLYTSTCLK_INVERTED = 1'b0;
1567 parameter [0:0] IS_TXUSRCLK2_INVERTED = 1'b0;
1568 parameter [0:0] IS_TXUSRCLK_INVERTED = 1'b0;
1569 parameter [1:0] OUTREFCLK_SEL_INV = 2'b11;
1570 parameter PCS_PCIE_EN = "FALSE";
1571 parameter [47:0] PCS_RSVD_ATTR = 48'h000000000000;
1572 parameter [11:0] PD_TRANS_TIME_FROM_P2 = 12'h03C;
1573 parameter [7:0] PD_TRANS_TIME_NONE_P2 = 8'h19;
1574 parameter [7:0] PD_TRANS_TIME_TO_P2 = 8'h64;
1575 parameter [31:0] PMA_RSV = 32'h00000000;
1576 parameter [15:0] PMA_RSV2 = 16'h2050;
1577 parameter [1:0] PMA_RSV3 = 2'b00;
1578 parameter [31:0] PMA_RSV4 = 32'h00000000;
1579 parameter [4:0] RXBUFRESET_TIME = 5'b00001;
1580 parameter RXBUF_ADDR_MODE = "FULL";
1581 parameter [3:0] RXBUF_EIDLE_HI_CNT = 4'b1000;
1582 parameter [3:0] RXBUF_EIDLE_LO_CNT = 4'b0000;
1583 parameter RXBUF_EN = "TRUE";
1584 parameter RXBUF_RESET_ON_CB_CHANGE = "TRUE";
1585 parameter RXBUF_RESET_ON_COMMAALIGN = "FALSE";
1586 parameter RXBUF_RESET_ON_EIDLE = "FALSE";
1587 parameter RXBUF_RESET_ON_RATE_CHANGE = "TRUE";
1588 parameter integer RXBUF_THRESH_OVFLW = 61;
1589 parameter RXBUF_THRESH_OVRD = "FALSE";
1590 parameter integer RXBUF_THRESH_UNDFLW = 4;
1591 parameter [4:0] RXCDRFREQRESET_TIME = 5'b00001;
1592 parameter [4:0] RXCDRPHRESET_TIME = 5'b00001;
1593 parameter [71:0] RXCDR_CFG = 72'h0B000023FF20400020;
1594 parameter [0:0] RXCDR_FR_RESET_ON_EIDLE = 1'b0;
1595 parameter [0:0] RXCDR_HOLD_DURING_EIDLE = 1'b0;
1596 parameter [5:0] RXCDR_LOCK_CFG = 6'b010101;
1597 parameter [0:0] RXCDR_PH_RESET_ON_EIDLE = 1'b0;
1598 parameter [6:0] RXDFELPMRESET_TIME = 7'b0001111;
1599 parameter [15:0] RXDLY_CFG = 16'h001F;
1600 parameter [8:0] RXDLY_LCFG = 9'h030;
1601 parameter [15:0] RXDLY_TAP_CFG = 16'h0000;
1602 parameter RXGEARBOX_EN = "FALSE";
1603 parameter [4:0] RXISCANRESET_TIME = 5'b00001;
1604 parameter [13:0] RXLPM_HF_CFG = 14'b00000011110000;
1605 parameter [13:0] RXLPM_LF_CFG = 14'b00000011110000;
1606 parameter [6:0] RXOOB_CFG = 7'b0000110;
1607 parameter integer RXOUT_DIV = 2;
1608 parameter [4:0] RXPCSRESET_TIME = 5'b00001;
1609 parameter [23:0] RXPHDLY_CFG = 24'h084020;
1610 parameter [23:0] RXPH_CFG = 24'h000000;
1611 parameter [4:0] RXPH_MONITOR_SEL = 5'b00000;
1612 parameter [4:0] RXPMARESET_TIME = 5'b00011;
1613 parameter [0:0] RXPRBS_ERR_LOOPBACK = 1'b0;
1614 parameter integer RXSLIDE_AUTO_WAIT = 7;
1615 parameter RXSLIDE_MODE = "OFF";
1616 parameter [11:0] RX_BIAS_CFG = 12'b000000000000;
1617 parameter [5:0] RX_BUFFER_CFG = 6'b000000;
1618 parameter integer RX_CLK25_DIV = 7;
1619 parameter [0:0] RX_CLKMUX_PD = 1'b1;
1620 parameter [1:0] RX_CM_SEL = 2'b11;
1621 parameter [2:0] RX_CM_TRIM = 3'b100;
1622 parameter integer RX_DATA_WIDTH = 20;
1623 parameter [5:0] RX_DDI_SEL = 6'b000000;
1624 parameter [11:0] RX_DEBUG_CFG = 12'b000000000000;
1625 parameter RX_DEFER_RESET_BUF_EN = "TRUE";
1626 parameter [22:0] RX_DFE_GAIN_CFG = 23'h180E0F;
1627 parameter [11:0] RX_DFE_H2_CFG = 12'b000111100000;
1628 parameter [11:0] RX_DFE_H3_CFG = 12'b000111100000;
1629 parameter [10:0] RX_DFE_H4_CFG = 11'b00011110000;
1630 parameter [10:0] RX_DFE_H5_CFG = 11'b00011110000;
1631 parameter [12:0] RX_DFE_KL_CFG = 13'b0001111110000;
1632 parameter [31:0] RX_DFE_KL_CFG2 = 32'h3008E56A;
1633 parameter [15:0] RX_DFE_LPM_CFG = 16'h0904;
1634 parameter [0:0] RX_DFE_LPM_HOLD_DURING_EIDLE = 1'b0;
1635 parameter [16:0] RX_DFE_UT_CFG = 17'b00111111000000000;
1636 parameter [16:0] RX_DFE_VP_CFG = 17'b00011111100000000;
1637 parameter [12:0] RX_DFE_XYD_CFG = 13'b0000000010000;
1638 parameter RX_DISPERR_SEQ_MATCH = "TRUE";
1639 parameter integer RX_INT_DATAWIDTH = 0;
1640 parameter [12:0] RX_OS_CFG = 13'b0001111110000;
1641 parameter integer RX_SIG_VALID_DLY = 10;
1642 parameter RX_XCLK_SEL = "RXREC";
1643 parameter integer SAS_MAX_COM = 64;
1644 parameter integer SAS_MIN_COM = 36;
1645 parameter [3:0] SATA_BURST_SEQ_LEN = 4'b1111;
1646 parameter [2:0] SATA_BURST_VAL = 3'b100;
1647 parameter SATA_CPLL_CFG = "VCO_3000MHZ";
1648 parameter [2:0] SATA_EIDLE_VAL = 3'b100;
1649 parameter integer SATA_MAX_BURST = 8;
1650 parameter integer SATA_MAX_INIT = 21;
1651 parameter integer SATA_MAX_WAKE = 7;
1652 parameter integer SATA_MIN_BURST = 4;
1653 parameter integer SATA_MIN_INIT = 12;
1654 parameter integer SATA_MIN_WAKE = 4;
1655 parameter SHOW_REALIGN_COMMA = "TRUE";
1656 parameter [2:0] SIM_CPLLREFCLK_SEL = 3'b001;
1657 parameter SIM_RECEIVER_DETECT_PASS = "TRUE";
1658 parameter SIM_RESET_SPEEDUP = "TRUE";
1659 parameter SIM_TX_EIDLE_DRIVE_LEVEL = "X";
1660 parameter SIM_VERSION = "4.0";
1661 parameter [4:0] TERM_RCAL_CFG = 5'b10000;
1662 parameter [0:0] TERM_RCAL_OVRD = 1'b0;
1663 parameter [7:0] TRANS_TIME_RATE = 8'h0E;
1664 parameter [31:0] TST_RSV = 32'h00000000;
1665 parameter TXBUF_EN = "TRUE";
1666 parameter TXBUF_RESET_ON_RATE_CHANGE = "FALSE";
1667 parameter [15:0] TXDLY_CFG = 16'h001F;
1668 parameter [8:0] TXDLY_LCFG = 9'h030;
1669 parameter [15:0] TXDLY_TAP_CFG = 16'h0000;
1670 parameter TXGEARBOX_EN = "FALSE";
1671 parameter integer TXOUT_DIV = 2;
1672 parameter [4:0] TXPCSRESET_TIME = 5'b00001;
1673 parameter [23:0] TXPHDLY_CFG = 24'h084020;
1674 parameter [15:0] TXPH_CFG = 16'h0780;
1675 parameter [4:0] TXPH_MONITOR_SEL = 5'b00000;
1676 parameter [4:0] TXPMARESET_TIME = 5'b00001;
1677 parameter integer TX_CLK25_DIV = 7;
1678 parameter [0:0] TX_CLKMUX_PD = 1'b1;
1679 parameter integer TX_DATA_WIDTH = 20;
1680 parameter [4:0] TX_DEEMPH0 = 5'b00000;
1681 parameter [4:0] TX_DEEMPH1 = 5'b00000;
1682 parameter TX_DRIVE_MODE = "DIRECT";
1683 parameter [2:0] TX_EIDLE_ASSERT_DELAY = 3'b110;
1684 parameter [2:0] TX_EIDLE_DEASSERT_DELAY = 3'b100;
1685 parameter integer TX_INT_DATAWIDTH = 0;
1686 parameter TX_LOOPBACK_DRIVE_HIZ = "FALSE";
1687 parameter [0:0] TX_MAINCURSOR_SEL = 1'b0;
1688 parameter [6:0] TX_MARGIN_FULL_0 = 7'b1001110;
1689 parameter [6:0] TX_MARGIN_FULL_1 = 7'b1001001;
1690 parameter [6:0] TX_MARGIN_FULL_2 = 7'b1000101;
1691 parameter [6:0] TX_MARGIN_FULL_3 = 7'b1000010;
1692 parameter [6:0] TX_MARGIN_FULL_4 = 7'b1000000;
1693 parameter [6:0] TX_MARGIN_LOW_0 = 7'b1000110;
1694 parameter [6:0] TX_MARGIN_LOW_1 = 7'b1000100;
1695 parameter [6:0] TX_MARGIN_LOW_2 = 7'b1000010;
1696 parameter [6:0] TX_MARGIN_LOW_3 = 7'b1000000;
1697 parameter [6:0] TX_MARGIN_LOW_4 = 7'b1000000;
1698 parameter [0:0] TX_PREDRIVER_MODE = 1'b0;
1699 parameter [0:0] TX_QPI_STATUS_EN = 1'b0;
1700 parameter [13:0] TX_RXDETECT_CFG = 14'h1832;
1701 parameter [2:0] TX_RXDETECT_REF = 3'b100;
1702 parameter TX_XCLK_SEL = "TXUSR";
1703 parameter [0:0] UCODEER_CLR = 1'b0;
1704 output CPLLFBCLKLOST;
1705 output CPLLLOCK;
1706 output CPLLREFCLKLOST;
1707 output DRPRDY;
1708 output EYESCANDATAERROR;
1709 output GTREFCLKMONITOR;
1710 output GTXTXN;
1711 output GTXTXP;
1712 output PHYSTATUS;
1713 output RXBYTEISALIGNED;
1714 output RXBYTEREALIGN;
1715 output RXCDRLOCK;
1716 output RXCHANBONDSEQ;
1717 output RXCHANISALIGNED;
1718 output RXCHANREALIGN;
1719 output RXCOMINITDET;
1720 output RXCOMMADET;
1721 output RXCOMSASDET;
1722 output RXCOMWAKEDET;
1723 output RXDATAVALID;
1724 output RXDLYSRESETDONE;
1725 output RXELECIDLE;
1726 output RXHEADERVALID;
1727 output RXOUTCLK;
1728 output RXOUTCLKFABRIC;
1729 output RXOUTCLKPCS;
1730 output RXPHALIGNDONE;
1731 output RXPRBSERR;
1732 output RXQPISENN;
1733 output RXQPISENP;
1734 output RXRATEDONE;
1735 output RXRESETDONE;
1736 output RXSTARTOFSEQ;
1737 output RXVALID;
1738 output TXCOMFINISH;
1739 output TXDLYSRESETDONE;
1740 output TXGEARBOXREADY;
1741 output TXOUTCLK;
1742 output TXOUTCLKFABRIC;
1743 output TXOUTCLKPCS;
1744 output TXPHALIGNDONE;
1745 output TXPHINITDONE;
1746 output TXQPISENN;
1747 output TXQPISENP;
1748 output TXRATEDONE;
1749 output TXRESETDONE;
1750 output [15:0] DRPDO;
1751 output [15:0] PCSRSVDOUT;
1752 output [1:0] RXCLKCORCNT;
1753 output [1:0] TXBUFSTATUS;
1754 output [2:0] RXBUFSTATUS;
1755 output [2:0] RXHEADER;
1756 output [2:0] RXSTATUS;
1757 output [4:0] RXCHBONDO;
1758 output [4:0] RXPHMONITOR;
1759 output [4:0] RXPHSLIPMONITOR;
1760 output [63:0] RXDATA;
1761 output [6:0] RXMONITOROUT;
1762 output [7:0] DMONITOROUT;
1763 output [7:0] RXCHARISCOMMA;
1764 output [7:0] RXCHARISK;
1765 output [7:0] RXDISPERR;
1766 output [7:0] RXNOTINTABLE;
1767 output [9:0] TSTOUT;
1768 input CFGRESET;
1769 input CPLLLOCKDETCLK;
1770 input CPLLLOCKEN;
1771 input CPLLPD;
1772 input CPLLRESET;
1773 input DRPCLK;
1774 input DRPEN;
1775 input DRPWE;
1776 input EYESCANMODE;
1777 input EYESCANRESET;
1778 input EYESCANTRIGGER;
1779 input GTGREFCLK;
1780 input GTNORTHREFCLK0;
1781 input GTNORTHREFCLK1;
1782 input GTREFCLK0;
1783 input GTREFCLK1;
1784 input GTRESETSEL;
1785 input GTRXRESET;
1786 input GTSOUTHREFCLK0;
1787 input GTSOUTHREFCLK1;
1788 input GTTXRESET;
1789 input GTXRXN;
1790 input GTXRXP;
1791 input QPLLCLK;
1792 input QPLLREFCLK;
1793 input RESETOVRD;
1794 input RX8B10BEN;
1795 input RXBUFRESET;
1796 input RXCDRFREQRESET;
1797 input RXCDRHOLD;
1798 input RXCDROVRDEN;
1799 input RXCDRRESET;
1800 input RXCDRRESETRSV;
1801 input RXCHBONDEN;
1802 input RXCHBONDMASTER;
1803 input RXCHBONDSLAVE;
1804 input RXCOMMADETEN;
1805 input RXDDIEN;
1806 input RXDFEAGCHOLD;
1807 input RXDFEAGCOVRDEN;
1808 input RXDFECM1EN;
1809 input RXDFELFHOLD;
1810 input RXDFELFOVRDEN;
1811 input RXDFELPMRESET;
1812 input RXDFETAP2HOLD;
1813 input RXDFETAP2OVRDEN;
1814 input RXDFETAP3HOLD;
1815 input RXDFETAP3OVRDEN;
1816 input RXDFETAP4HOLD;
1817 input RXDFETAP4OVRDEN;
1818 input RXDFETAP5HOLD;
1819 input RXDFETAP5OVRDEN;
1820 input RXDFEUTHOLD;
1821 input RXDFEUTOVRDEN;
1822 input RXDFEVPHOLD;
1823 input RXDFEVPOVRDEN;
1824 input RXDFEVSEN;
1825 input RXDFEXYDEN;
1826 input RXDFEXYDHOLD;
1827 input RXDFEXYDOVRDEN;
1828 input RXDLYBYPASS;
1829 input RXDLYEN;
1830 input RXDLYOVRDEN;
1831 input RXDLYSRESET;
1832 input RXGEARBOXSLIP;
1833 input RXLPMEN;
1834 input RXLPMHFHOLD;
1835 input RXLPMHFOVRDEN;
1836 input RXLPMLFHOLD;
1837 input RXLPMLFKLOVRDEN;
1838 input RXMCOMMAALIGNEN;
1839 input RXOOBRESET;
1840 input RXOSHOLD;
1841 input RXOSOVRDEN;
1842 input RXPCOMMAALIGNEN;
1843 input RXPCSRESET;
1844 input RXPHALIGN;
1845 input RXPHALIGNEN;
1846 input RXPHDLYPD;
1847 input RXPHDLYRESET;
1848 input RXPHOVRDEN;
1849 input RXPMARESET;
1850 input RXPOLARITY;
1851 input RXPRBSCNTRESET;
1852 input RXQPIEN;
1853 input RXSLIDE;
1854 input RXUSERRDY;
1855 input RXUSRCLK2;
1856 input RXUSRCLK;
1857 input SETERRSTATUS;
1858 input TX8B10BEN;
1859 input TXCOMINIT;
1860 input TXCOMSAS;
1861 input TXCOMWAKE;
1862 input TXDEEMPH;
1863 input TXDETECTRX;
1864 input TXDIFFPD;
1865 input TXDLYBYPASS;
1866 input TXDLYEN;
1867 input TXDLYHOLD;
1868 input TXDLYOVRDEN;
1869 input TXDLYSRESET;
1870 input TXDLYUPDOWN;
1871 input TXELECIDLE;
1872 input TXINHIBIT;
1873 input TXPCSRESET;
1874 input TXPDELECIDLEMODE;
1875 input TXPHALIGN;
1876 input TXPHALIGNEN;
1877 input TXPHDLYPD;
1878 input TXPHDLYRESET;
1879 input TXPHDLYTSTCLK;
1880 input TXPHINIT;
1881 input TXPHOVRDEN;
1882 input TXPISOPD;
1883 input TXPMARESET;
1884 input TXPOLARITY;
1885 input TXPOSTCURSORINV;
1886 input TXPRBSFORCEERR;
1887 input TXPRECURSORINV;
1888 input TXQPIBIASEN;
1889 input TXQPISTRONGPDOWN;
1890 input TXQPIWEAKPUP;
1891 input TXSTARTSEQ;
1892 input TXSWING;
1893 input TXUSERRDY;
1894 input TXUSRCLK2;
1895 input TXUSRCLK;
1896 input [15:0] DRPDI;
1897 input [15:0] GTRSVD;
1898 input [15:0] PCSRSVDIN;
1899 input [19:0] TSTIN;
1900 input [1:0] RXELECIDLEMODE;
1901 input [1:0] RXMONITORSEL;
1902 input [1:0] RXPD;
1903 input [1:0] RXSYSCLKSEL;
1904 input [1:0] TXPD;
1905 input [1:0] TXSYSCLKSEL;
1906 input [2:0] CPLLREFCLKSEL;
1907 input [2:0] LOOPBACK;
1908 input [2:0] RXCHBONDLEVEL;
1909 input [2:0] RXOUTCLKSEL;
1910 input [2:0] RXPRBSSEL;
1911 input [2:0] RXRATE;
1912 input [2:0] TXBUFDIFFCTRL;
1913 input [2:0] TXHEADER;
1914 input [2:0] TXMARGIN;
1915 input [2:0] TXOUTCLKSEL;
1916 input [2:0] TXPRBSSEL;
1917 input [2:0] TXRATE;
1918 input [3:0] CLKRSVD;
1919 input [3:0] TXDIFFCTRL;
1920 input [4:0] PCSRSVDIN2;
1921 input [4:0] PMARSVDIN2;
1922 input [4:0] PMARSVDIN;
1923 input [4:0] RXCHBONDI;
1924 input [4:0] TXPOSTCURSOR;
1925 input [4:0] TXPRECURSOR;
1926 input [63:0] TXDATA;
1927 input [6:0] TXMAINCURSOR;
1928 input [6:0] TXSEQUENCE;
1929 input [7:0] TX8B10BBYPASS;
1930 input [7:0] TXCHARDISPMODE;
1931 input [7:0] TXCHARDISPVAL;
1932 input [7:0] TXCHARISK;
1933 input [8:0] DRPADDR;
1934 endmodule
1935
1936 module GTXE2_COMMON (...);
1937 parameter [63:0] BIAS_CFG = 64'h0000040000001000;
1938 parameter [31:0] COMMON_CFG = 32'h00000000;
1939 parameter [0:0] IS_DRPCLK_INVERTED = 1'b0;
1940 parameter [0:0] IS_GTGREFCLK_INVERTED = 1'b0;
1941 parameter [0:0] IS_QPLLLOCKDETCLK_INVERTED = 1'b0;
1942 parameter [26:0] QPLL_CFG = 27'h0680181;
1943 parameter [3:0] QPLL_CLKOUT_CFG = 4'b0000;
1944 parameter [5:0] QPLL_COARSE_FREQ_OVRD = 6'b010000;
1945 parameter [0:0] QPLL_COARSE_FREQ_OVRD_EN = 1'b0;
1946 parameter [9:0] QPLL_CP = 10'b0000011111;
1947 parameter [0:0] QPLL_CP_MONITOR_EN = 1'b0;
1948 parameter [0:0] QPLL_DMONITOR_SEL = 1'b0;
1949 parameter [9:0] QPLL_FBDIV = 10'b0000000000;
1950 parameter [0:0] QPLL_FBDIV_MONITOR_EN = 1'b0;
1951 parameter [0:0] QPLL_FBDIV_RATIO = 1'b0;
1952 parameter [23:0] QPLL_INIT_CFG = 24'h000006;
1953 parameter [15:0] QPLL_LOCK_CFG = 16'h21E8;
1954 parameter [3:0] QPLL_LPF = 4'b1111;
1955 parameter integer QPLL_REFCLK_DIV = 2;
1956 parameter [2:0] SIM_QPLLREFCLK_SEL = 3'b001;
1957 parameter SIM_RESET_SPEEDUP = "TRUE";
1958 parameter SIM_VERSION = "4.0";
1959 output DRPRDY;
1960 output QPLLFBCLKLOST;
1961 output QPLLLOCK;
1962 output QPLLOUTCLK;
1963 output QPLLOUTREFCLK;
1964 output QPLLREFCLKLOST;
1965 output REFCLKOUTMONITOR;
1966 output [15:0] DRPDO;
1967 output [7:0] QPLLDMONITOR;
1968 input BGBYPASSB;
1969 input BGMONITORENB;
1970 input BGPDB;
1971 input DRPCLK;
1972 input DRPEN;
1973 input DRPWE;
1974 input GTGREFCLK;
1975 input GTNORTHREFCLK0;
1976 input GTNORTHREFCLK1;
1977 input GTREFCLK0;
1978 input GTREFCLK1;
1979 input GTSOUTHREFCLK0;
1980 input GTSOUTHREFCLK1;
1981 input QPLLLOCKDETCLK;
1982 input QPLLLOCKEN;
1983 input QPLLOUTRESET;
1984 input QPLLPD;
1985 input QPLLRESET;
1986 input RCALENB;
1987 input [15:0] DRPDI;
1988 input [15:0] QPLLRSVD1;
1989 input [2:0] QPLLREFCLKSEL;
1990 input [4:0] BGRCALOVRD;
1991 input [4:0] QPLLRSVD2;
1992 input [7:0] DRPADDR;
1993 input [7:0] PMARSVD;
1994 endmodule
1995
1996 module IBUF_IBUFDISABLE (...);
1997 parameter IBUF_LOW_PWR = "TRUE";
1998 parameter IOSTANDARD = "DEFAULT";
1999 parameter SIM_DEVICE = "7SERIES";
2000 parameter USE_IBUFDISABLE = "TRUE";
2001 output O;
2002 input I;
2003 input IBUFDISABLE;
2004 endmodule
2005
2006 module IBUF_INTERMDISABLE (...);
2007 parameter IBUF_LOW_PWR = "TRUE";
2008 parameter IOSTANDARD = "DEFAULT";
2009 parameter SIM_DEVICE = "7SERIES";
2010 parameter USE_IBUFDISABLE = "TRUE";
2011 output O;
2012 input I;
2013 input IBUFDISABLE;
2014 input INTERMDISABLE;
2015 endmodule
2016
2017 module IBUFDS (...);
2018 parameter CAPACITANCE = "DONT_CARE";
2019 parameter DIFF_TERM = "FALSE";
2020 parameter DQS_BIAS = "FALSE";
2021 parameter IBUF_DELAY_VALUE = "0";
2022 parameter IBUF_LOW_PWR = "TRUE";
2023 parameter IFD_DELAY_VALUE = "AUTO";
2024 parameter IOSTANDARD = "DEFAULT";
2025 output O;
2026 input I, IB;
2027 endmodule
2028
2029 module IBUFDS_DIFF_OUT (...);
2030 parameter DIFF_TERM = "FALSE";
2031 parameter DQS_BIAS = "FALSE";
2032 parameter IBUF_LOW_PWR = "TRUE";
2033 parameter IOSTANDARD = "DEFAULT";
2034 output O, OB;
2035 input I, IB;
2036 endmodule
2037
2038 module IBUFDS_DIFF_OUT_IBUFDISABLE (...);
2039 parameter DIFF_TERM = "FALSE";
2040 parameter DQS_BIAS = "FALSE";
2041 parameter IBUF_LOW_PWR = "TRUE";
2042 parameter IOSTANDARD = "DEFAULT";
2043 parameter SIM_DEVICE = "7SERIES";
2044 parameter USE_IBUFDISABLE = "TRUE";
2045 output O;
2046 output OB;
2047 input I;
2048 input IB;
2049 input IBUFDISABLE;
2050 endmodule
2051
2052 module IBUFDS_DIFF_OUT_INTERMDISABLE (...);
2053 parameter DIFF_TERM = "FALSE";
2054 parameter DQS_BIAS = "FALSE";
2055 parameter IBUF_LOW_PWR = "TRUE";
2056 parameter IOSTANDARD = "DEFAULT";
2057 parameter SIM_DEVICE = "7SERIES";
2058 parameter USE_IBUFDISABLE = "TRUE";
2059 output O;
2060 output OB;
2061 input I;
2062 input IB;
2063 input IBUFDISABLE;
2064 input INTERMDISABLE;
2065 endmodule
2066
2067 module IBUFDS_GTE2 (...);
2068 parameter CLKCM_CFG = "TRUE";
2069 parameter CLKRCV_TRST = "TRUE";
2070 parameter CLKSWING_CFG = "TRUE";
2071 output O;
2072 output ODIV2;
2073 input CEB;
2074 input I;
2075 input IB;
2076 endmodule
2077
2078 module IBUFDS_IBUFDISABLE (...);
2079 parameter DIFF_TERM = "FALSE";
2080 parameter DQS_BIAS = "FALSE";
2081 parameter IBUF_LOW_PWR = "TRUE";
2082 parameter IOSTANDARD = "DEFAULT";
2083 parameter SIM_DEVICE = "7SERIES";
2084 parameter USE_IBUFDISABLE = "TRUE";
2085 output O;
2086 input I;
2087 input IB;
2088 input IBUFDISABLE;
2089 endmodule
2090
2091 module IBUFDS_INTERMDISABLE (...);
2092 parameter DIFF_TERM = "FALSE";
2093 parameter DQS_BIAS = "FALSE";
2094 parameter IBUF_LOW_PWR = "TRUE";
2095 parameter IOSTANDARD = "DEFAULT";
2096 parameter SIM_DEVICE = "7SERIES";
2097 parameter USE_IBUFDISABLE = "TRUE";
2098 output O;
2099 input I;
2100 input IB;
2101 input IBUFDISABLE;
2102 input INTERMDISABLE;
2103 endmodule
2104
2105 module ICAPE2 (...);
2106 parameter [31:0] DEVICE_ID = 32'h04244093;
2107 parameter ICAP_WIDTH = "X32";
2108 parameter SIM_CFG_FILE_NAME = "NONE";
2109 output [31:0] O;
2110 input CLK;
2111 input CSIB;
2112 input RDWRB;
2113 input [31:0] I;
2114 endmodule
2115
2116 module IDDR (...);
2117 parameter DDR_CLK_EDGE = "OPPOSITE_EDGE";
2118 parameter INIT_Q1 = 1'b0;
2119 parameter INIT_Q2 = 1'b0;
2120 parameter [0:0] IS_C_INVERTED = 1'b0;
2121 parameter [0:0] IS_D_INVERTED = 1'b0;
2122 parameter SRTYPE = "SYNC";
2123 parameter MSGON = "TRUE";
2124 parameter XON = "TRUE";
2125 output Q1;
2126 output Q2;
2127 input C;
2128 input CE;
2129 input D;
2130 input R;
2131 input S;
2132 endmodule
2133
2134 module IDDR_2CLK (...);
2135 parameter DDR_CLK_EDGE = "OPPOSITE_EDGE";
2136 parameter INIT_Q1 = 1'b0;
2137 parameter INIT_Q2 = 1'b0;
2138 parameter [0:0] IS_CB_INVERTED = 1'b0;
2139 parameter [0:0] IS_C_INVERTED = 1'b0;
2140 parameter [0:0] IS_D_INVERTED = 1'b0;
2141 parameter SRTYPE = "SYNC";
2142 output Q1;
2143 output Q2;
2144 input C;
2145 input CB;
2146 input CE;
2147 input D;
2148 input R;
2149 input S;
2150 endmodule
2151
2152 module IDELAYCTRL (...);
2153 parameter SIM_DEVICE = "7SERIES";
2154 output RDY;
2155 input REFCLK;
2156 input RST;
2157 endmodule
2158
2159 module IDELAYE2 (...);
2160 parameter CINVCTRL_SEL = "FALSE";
2161 parameter DELAY_SRC = "IDATAIN";
2162 parameter HIGH_PERFORMANCE_MODE = "FALSE";
2163 parameter IDELAY_TYPE = "FIXED";
2164 parameter integer IDELAY_VALUE = 0;
2165 parameter [0:0] IS_C_INVERTED = 1'b0;
2166 parameter [0:0] IS_DATAIN_INVERTED = 1'b0;
2167 parameter [0:0] IS_IDATAIN_INVERTED = 1'b0;
2168 parameter PIPE_SEL = "FALSE";
2169 parameter real REFCLK_FREQUENCY = 200.0;
2170 parameter SIGNAL_PATTERN = "DATA";
2171 parameter integer SIM_DELAY_D = 0;
2172 output [4:0] CNTVALUEOUT;
2173 output DATAOUT;
2174 input C;
2175 input CE;
2176 input CINVCTRL;
2177 input [4:0] CNTVALUEIN;
2178 input DATAIN;
2179 input IDATAIN;
2180 input INC;
2181 input LD;
2182 input LDPIPEEN;
2183 input REGRST;
2184 endmodule
2185
2186 module IN_FIFO (...);
2187 parameter integer ALMOST_EMPTY_VALUE = 1;
2188 parameter integer ALMOST_FULL_VALUE = 1;
2189 parameter ARRAY_MODE = "ARRAY_MODE_4_X_8";
2190 parameter SYNCHRONOUS_MODE = "FALSE";
2191 output ALMOSTEMPTY;
2192 output ALMOSTFULL;
2193 output EMPTY;
2194 output FULL;
2195 output [7:0] Q0;
2196 output [7:0] Q1;
2197 output [7:0] Q2;
2198 output [7:0] Q3;
2199 output [7:0] Q4;
2200 output [7:0] Q5;
2201 output [7:0] Q6;
2202 output [7:0] Q7;
2203 output [7:0] Q8;
2204 output [7:0] Q9;
2205 input RDCLK;
2206 input RDEN;
2207 input RESET;
2208 input WRCLK;
2209 input WREN;
2210 input [3:0] D0;
2211 input [3:0] D1;
2212 input [3:0] D2;
2213 input [3:0] D3;
2214 input [3:0] D4;
2215 input [3:0] D7;
2216 input [3:0] D8;
2217 input [3:0] D9;
2218 input [7:0] D5;
2219 input [7:0] D6;
2220 endmodule
2221
2222 module IOBUF (...);
2223 parameter integer DRIVE = 12;
2224 parameter IBUF_LOW_PWR = "TRUE";
2225 parameter IOSTANDARD = "DEFAULT";
2226 parameter SLEW = "SLOW";
2227 output O;
2228 input I, T;
2229 endmodule
2230
2231 module IOBUF_DCIEN (...);
2232 parameter integer DRIVE = 12;
2233 parameter IBUF_LOW_PWR = "TRUE";
2234 parameter IOSTANDARD = "DEFAULT";
2235 parameter SIM_DEVICE = "7SERIES";
2236 parameter SLEW = "SLOW";
2237 parameter USE_IBUFDISABLE = "TRUE";
2238 output O;
2239 input DCITERMDISABLE;
2240 input I;
2241 input IBUFDISABLE;
2242 input T;
2243 endmodule
2244
2245 module IOBUF_INTERMDISABLE (...);
2246 parameter integer DRIVE = 12;
2247 parameter IBUF_LOW_PWR = "TRUE";
2248 parameter IOSTANDARD = "DEFAULT";
2249 parameter SIM_DEVICE = "7SERIES";
2250 parameter SLEW = "SLOW";
2251 parameter USE_IBUFDISABLE = "TRUE";
2252 output O;
2253 input I;
2254 input IBUFDISABLE;
2255 input INTERMDISABLE;
2256 input T;
2257 endmodule
2258
2259 module IOBUFDS (...);
2260 parameter DIFF_TERM = "FALSE";
2261 parameter DQS_BIAS = "FALSE";
2262 parameter IBUF_LOW_PWR = "TRUE";
2263 parameter IOSTANDARD = "DEFAULT";
2264 parameter SLEW = "SLOW";
2265 output O;
2266 input I, T;
2267 endmodule
2268
2269 module IOBUFDS_DCIEN (...);
2270 parameter DIFF_TERM = "FALSE";
2271 parameter DQS_BIAS = "FALSE";
2272 parameter IBUF_LOW_PWR = "TRUE";
2273 parameter IOSTANDARD = "DEFAULT";
2274 parameter SIM_DEVICE = "7SERIES";
2275 parameter SLEW = "SLOW";
2276 parameter USE_IBUFDISABLE = "TRUE";
2277 output O;
2278 input DCITERMDISABLE;
2279 input I;
2280 input IBUFDISABLE;
2281 input T;
2282 endmodule
2283
2284 module IOBUFDS_DIFF_OUT (...);
2285 parameter DIFF_TERM = "FALSE";
2286 parameter DQS_BIAS = "FALSE";
2287 parameter IBUF_LOW_PWR = "TRUE";
2288 parameter IOSTANDARD = "DEFAULT";
2289 output O;
2290 output OB;
2291 input I;
2292 input TM;
2293 input TS;
2294 endmodule
2295
2296 module IOBUFDS_DIFF_OUT_DCIEN (...);
2297 parameter DIFF_TERM = "FALSE";
2298 parameter DQS_BIAS = "FALSE";
2299 parameter IBUF_LOW_PWR = "TRUE";
2300 parameter IOSTANDARD = "DEFAULT";
2301 parameter SIM_DEVICE = "7SERIES";
2302 parameter USE_IBUFDISABLE = "TRUE";
2303 output O;
2304 output OB;
2305 input DCITERMDISABLE;
2306 input I;
2307 input IBUFDISABLE;
2308 input TM;
2309 input TS;
2310 endmodule
2311
2312 module IOBUFDS_DIFF_OUT_INTERMDISABLE (...);
2313 parameter DIFF_TERM = "FALSE";
2314 parameter DQS_BIAS = "FALSE";
2315 parameter IBUF_LOW_PWR = "TRUE";
2316 parameter IOSTANDARD = "DEFAULT";
2317 parameter SIM_DEVICE = "7SERIES";
2318 parameter USE_IBUFDISABLE = "TRUE";
2319 output O;
2320 output OB;
2321 input I;
2322 input IBUFDISABLE;
2323 input INTERMDISABLE;
2324 input TM;
2325 input TS;
2326 endmodule
2327
2328 module ISERDESE2 (...);
2329 parameter DATA_RATE = "DDR";
2330 parameter integer DATA_WIDTH = 4;
2331 parameter DYN_CLKDIV_INV_EN = "FALSE";
2332 parameter DYN_CLK_INV_EN = "FALSE";
2333 parameter [0:0] INIT_Q1 = 1'b0;
2334 parameter [0:0] INIT_Q2 = 1'b0;
2335 parameter [0:0] INIT_Q3 = 1'b0;
2336 parameter [0:0] INIT_Q4 = 1'b0;
2337 parameter INTERFACE_TYPE = "MEMORY";
2338 parameter IOBDELAY = "NONE";
2339 parameter [0:0] IS_CLKB_INVERTED = 1'b0;
2340 parameter [0:0] IS_CLKDIVP_INVERTED = 1'b0;
2341 parameter [0:0] IS_CLKDIV_INVERTED = 1'b0;
2342 parameter [0:0] IS_CLK_INVERTED = 1'b0;
2343 parameter [0:0] IS_D_INVERTED = 1'b0;
2344 parameter [0:0] IS_OCLKB_INVERTED = 1'b0;
2345 parameter [0:0] IS_OCLK_INVERTED = 1'b0;
2346 parameter integer NUM_CE = 2;
2347 parameter OFB_USED = "FALSE";
2348 parameter SERDES_MODE = "MASTER";
2349 parameter [0:0] SRVAL_Q1 = 1'b0;
2350 parameter [0:0] SRVAL_Q2 = 1'b0;
2351 parameter [0:0] SRVAL_Q3 = 1'b0;
2352 parameter [0:0] SRVAL_Q4 = 1'b0;
2353 output O;
2354 output Q1;
2355 output Q2;
2356 output Q3;
2357 output Q4;
2358 output Q5;
2359 output Q6;
2360 output Q7;
2361 output Q8;
2362 output SHIFTOUT1;
2363 output SHIFTOUT2;
2364 input BITSLIP;
2365 input CE1;
2366 input CE2;
2367 input CLK;
2368 input CLKB;
2369 input CLKDIV;
2370 input CLKDIVP;
2371 input D;
2372 input DDLY;
2373 input DYNCLKDIVSEL;
2374 input DYNCLKSEL;
2375 input OCLK;
2376 input OCLKB;
2377 input OFB;
2378 input RST;
2379 input SHIFTIN1;
2380 input SHIFTIN2;
2381 endmodule
2382
2383 module KEEPER (...);
2384 endmodule
2385
2386 module LDCE (...);
2387 parameter [0:0] INIT = 1'b0;
2388 parameter [0:0] IS_CLR_INVERTED = 1'b0;
2389 parameter [0:0] IS_G_INVERTED = 1'b0;
2390 parameter MSGON = "TRUE";
2391 parameter XON = "TRUE";
2392 output Q;
2393 input CLR, D, G, GE;
2394 endmodule
2395
2396 module LDPE (...);
2397 parameter [0:0] INIT = 1'b1;
2398 parameter [0:0] IS_G_INVERTED = 1'b0;
2399 parameter [0:0] IS_PRE_INVERTED = 1'b0;
2400 parameter MSGON = "TRUE";
2401 parameter XON = "TRUE";
2402 output Q;
2403 input D, G, GE, PRE;
2404 endmodule
2405
2406 module LUT6_2 (...);
2407 parameter [63:0] INIT = 64'h0000000000000000;
2408 input I0, I1, I2, I3, I4, I5;
2409 output O5, O6;
2410 endmodule
2411
2412 module MMCME2_ADV (...);
2413 parameter BANDWIDTH = "OPTIMIZED";
2414 parameter real CLKFBOUT_MULT_F = 5.000;
2415 parameter real CLKFBOUT_PHASE = 0.000;
2416 parameter CLKFBOUT_USE_FINE_PS = "FALSE";
2417 parameter real CLKIN1_PERIOD = 0.000;
2418 parameter real CLKIN2_PERIOD = 0.000;
2419 parameter real CLKIN_FREQ_MAX = 1066.000;
2420 parameter real CLKIN_FREQ_MIN = 10.000;
2421 parameter real CLKOUT0_DIVIDE_F = 1.000;
2422 parameter real CLKOUT0_DUTY_CYCLE = 0.500;
2423 parameter real CLKOUT0_PHASE = 0.000;
2424 parameter CLKOUT0_USE_FINE_PS = "FALSE";
2425 parameter integer CLKOUT1_DIVIDE = 1;
2426 parameter real CLKOUT1_DUTY_CYCLE = 0.500;
2427 parameter real CLKOUT1_PHASE = 0.000;
2428 parameter CLKOUT1_USE_FINE_PS = "FALSE";
2429 parameter integer CLKOUT2_DIVIDE = 1;
2430 parameter real CLKOUT2_DUTY_CYCLE = 0.500;
2431 parameter real CLKOUT2_PHASE = 0.000;
2432 parameter CLKOUT2_USE_FINE_PS = "FALSE";
2433 parameter integer CLKOUT3_DIVIDE = 1;
2434 parameter real CLKOUT3_DUTY_CYCLE = 0.500;
2435 parameter real CLKOUT3_PHASE = 0.000;
2436 parameter CLKOUT3_USE_FINE_PS = "FALSE";
2437 parameter CLKOUT4_CASCADE = "FALSE";
2438 parameter integer CLKOUT4_DIVIDE = 1;
2439 parameter real CLKOUT4_DUTY_CYCLE = 0.500;
2440 parameter real CLKOUT4_PHASE = 0.000;
2441 parameter CLKOUT4_USE_FINE_PS = "FALSE";
2442 parameter integer CLKOUT5_DIVIDE = 1;
2443 parameter real CLKOUT5_DUTY_CYCLE = 0.500;
2444 parameter real CLKOUT5_PHASE = 0.000;
2445 parameter CLKOUT5_USE_FINE_PS = "FALSE";
2446 parameter integer CLKOUT6_DIVIDE = 1;
2447 parameter real CLKOUT6_DUTY_CYCLE = 0.500;
2448 parameter real CLKOUT6_PHASE = 0.000;
2449 parameter CLKOUT6_USE_FINE_PS = "FALSE";
2450 parameter real CLKPFD_FREQ_MAX = 550.000;
2451 parameter real CLKPFD_FREQ_MIN = 10.000;
2452 parameter COMPENSATION = "ZHOLD";
2453 parameter integer DIVCLK_DIVIDE = 1;
2454 parameter [0:0] IS_CLKINSEL_INVERTED = 1'b0;
2455 parameter [0:0] IS_PSEN_INVERTED = 1'b0;
2456 parameter [0:0] IS_PSINCDEC_INVERTED = 1'b0;
2457 parameter [0:0] IS_PWRDWN_INVERTED = 1'b0;
2458 parameter [0:0] IS_RST_INVERTED = 1'b0;
2459 parameter real REF_JITTER1 = 0.010;
2460 parameter real REF_JITTER2 = 0.010;
2461 parameter SS_EN = "FALSE";
2462 parameter SS_MODE = "CENTER_HIGH";
2463 parameter integer SS_MOD_PERIOD = 10000;
2464 parameter STARTUP_WAIT = "FALSE";
2465 parameter real VCOCLK_FREQ_MAX = 1600.000;
2466 parameter real VCOCLK_FREQ_MIN = 600.000;
2467 parameter STARTUP_WAIT = "FALSE";
2468 output CLKFBOUT;
2469 output CLKFBOUTB;
2470 output CLKFBSTOPPED;
2471 output CLKINSTOPPED;
2472 output CLKOUT0;
2473 output CLKOUT0B;
2474 output CLKOUT1;
2475 output CLKOUT1B;
2476 output CLKOUT2;
2477 output CLKOUT2B;
2478 output CLKOUT3;
2479 output CLKOUT3B;
2480 output CLKOUT4;
2481 output CLKOUT5;
2482 output CLKOUT6;
2483 output [15:0] DO;
2484 output DRDY;
2485 output LOCKED;
2486 output PSDONE;
2487 input CLKFBIN;
2488 input CLKIN1;
2489 input CLKIN2;
2490 input CLKINSEL;
2491 input [6:0] DADDR;
2492 input DCLK;
2493 input DEN;
2494 input [15:0] DI;
2495 input DWE;
2496 input PSCLK;
2497 input PSEN;
2498 input PSINCDEC;
2499 input PWRDWN;
2500 input RST;
2501 endmodule
2502
2503 module MMCME2_BASE (...);
2504 parameter BANDWIDTH = "OPTIMIZED";
2505 parameter real CLKFBOUT_MULT_F = 5.000;
2506 parameter real CLKFBOUT_PHASE = 0.000;
2507 parameter real CLKIN1_PERIOD = 0.000;
2508 parameter real CLKOUT0_DIVIDE_F = 1.000;
2509 parameter real CLKOUT0_DUTY_CYCLE = 0.500;
2510 parameter real CLKOUT0_PHASE = 0.000;
2511 parameter integer CLKOUT1_DIVIDE = 1;
2512 parameter real CLKOUT1_DUTY_CYCLE = 0.500;
2513 parameter real CLKOUT1_PHASE = 0.000;
2514 parameter integer CLKOUT2_DIVIDE = 1;
2515 parameter real CLKOUT2_DUTY_CYCLE = 0.500;
2516 parameter real CLKOUT2_PHASE = 0.000;
2517 parameter integer CLKOUT3_DIVIDE = 1;
2518 parameter real CLKOUT3_DUTY_CYCLE = 0.500;
2519 parameter real CLKOUT3_PHASE = 0.000;
2520 parameter CLKOUT4_CASCADE = "FALSE";
2521 parameter integer CLKOUT4_DIVIDE = 1;
2522 parameter real CLKOUT4_DUTY_CYCLE = 0.500;
2523 parameter real CLKOUT4_PHASE = 0.000;
2524 parameter integer CLKOUT5_DIVIDE = 1;
2525 parameter real CLKOUT5_DUTY_CYCLE = 0.500;
2526 parameter real CLKOUT5_PHASE = 0.000;
2527 parameter integer CLKOUT6_DIVIDE = 1;
2528 parameter real CLKOUT6_DUTY_CYCLE = 0.500;
2529 parameter real CLKOUT6_PHASE = 0.000;
2530 parameter integer DIVCLK_DIVIDE = 1;
2531 parameter real REF_JITTER1 = 0.010;
2532 parameter STARTUP_WAIT = "FALSE";
2533 output CLKFBOUT;
2534 output CLKFBOUTB;
2535 output CLKOUT0;
2536 output CLKOUT0B;
2537 output CLKOUT1;
2538 output CLKOUT1B;
2539 output CLKOUT2;
2540 output CLKOUT2B;
2541 output CLKOUT3;
2542 output CLKOUT3B;
2543 output CLKOUT4;
2544 output CLKOUT5;
2545 output CLKOUT6;
2546 output LOCKED;
2547 input CLKFBIN;
2548 input CLKIN1;
2549 input PWRDWN;
2550 input RST;
2551 endmodule
2552
2553 module OBUFDS (...);
2554 parameter CAPACITANCE = "DONT_CARE";
2555 parameter IOSTANDARD = "DEFAULT";
2556 parameter SLEW = "SLOW";
2557 output O, OB;
2558 input I;
2559 endmodule
2560
2561 module OBUFT (...);
2562 parameter CAPACITANCE = "DONT_CARE";
2563 parameter integer DRIVE = 12;
2564 parameter IOSTANDARD = "DEFAULT";
2565 parameter SLEW = "SLOW";
2566 output O;
2567 input I, T;
2568 endmodule
2569
2570 module OBUFTDS (...);
2571 parameter CAPACITANCE = "DONT_CARE";
2572 parameter IOSTANDARD = "DEFAULT";
2573 parameter SLEW = "SLOW";
2574 output O, OB;
2575 input I, T;
2576 endmodule
2577
2578 module ODDR (...);
2579 output Q;
2580 input C;
2581 input CE;
2582 input D1;
2583 input D2;
2584 input R;
2585 input S;
2586 parameter DDR_CLK_EDGE = "OPPOSITE_EDGE";
2587 parameter INIT = 1'b0;
2588 parameter [0:0] IS_C_INVERTED = 1'b0;
2589 parameter [0:0] IS_D1_INVERTED = 1'b0;
2590 parameter [0:0] IS_D2_INVERTED = 1'b0;
2591 parameter SRTYPE = "SYNC";
2592 parameter MSGON = "TRUE";
2593 parameter XON = "TRUE";
2594 endmodule
2595
2596 module ODELAYE2 (...);
2597 parameter CINVCTRL_SEL = "FALSE";
2598 parameter DELAY_SRC = "ODATAIN";
2599 parameter HIGH_PERFORMANCE_MODE = "FALSE";
2600 parameter [0:0] IS_C_INVERTED = 1'b0;
2601 parameter [0:0] IS_ODATAIN_INVERTED = 1'b0;
2602 parameter ODELAY_TYPE = "FIXED";
2603 parameter integer ODELAY_VALUE = 0;
2604 parameter PIPE_SEL = "FALSE";
2605 parameter real REFCLK_FREQUENCY = 200.0;
2606 parameter SIGNAL_PATTERN = "DATA";
2607 parameter integer SIM_DELAY_D = 0;
2608 output [4:0] CNTVALUEOUT;
2609 output DATAOUT;
2610 input C;
2611 input CE;
2612 input CINVCTRL;
2613 input CLKIN;
2614 input [4:0] CNTVALUEIN;
2615 input INC;
2616 input LD;
2617 input LDPIPEEN;
2618 input ODATAIN;
2619 input REGRST;
2620 endmodule
2621
2622 module OSERDESE2 (...);
2623 parameter DATA_RATE_OQ = "DDR";
2624 parameter DATA_RATE_TQ = "DDR";
2625 parameter integer DATA_WIDTH = 4;
2626 parameter [0:0] INIT_OQ = 1'b0;
2627 parameter [0:0] INIT_TQ = 1'b0;
2628 parameter [0:0] IS_CLKDIV_INVERTED = 1'b0;
2629 parameter [0:0] IS_CLK_INVERTED = 1'b0;
2630 parameter [0:0] IS_D1_INVERTED = 1'b0;
2631 parameter [0:0] IS_D2_INVERTED = 1'b0;
2632 parameter [0:0] IS_D3_INVERTED = 1'b0;
2633 parameter [0:0] IS_D4_INVERTED = 1'b0;
2634 parameter [0:0] IS_D5_INVERTED = 1'b0;
2635 parameter [0:0] IS_D6_INVERTED = 1'b0;
2636 parameter [0:0] IS_D7_INVERTED = 1'b0;
2637 parameter [0:0] IS_D8_INVERTED = 1'b0;
2638 parameter [0:0] IS_T1_INVERTED = 1'b0;
2639 parameter [0:0] IS_T2_INVERTED = 1'b0;
2640 parameter [0:0] IS_T3_INVERTED = 1'b0;
2641 parameter [0:0] IS_T4_INVERTED = 1'b0;
2642 parameter SERDES_MODE = "MASTER";
2643 parameter [0:0] SRVAL_OQ = 1'b0;
2644 parameter [0:0] SRVAL_TQ = 1'b0;
2645 parameter TBYTE_CTL = "FALSE";
2646 parameter TBYTE_SRC = "FALSE";
2647 parameter integer TRISTATE_WIDTH = 4;
2648 output OFB;
2649 output OQ;
2650 output SHIFTOUT1;
2651 output SHIFTOUT2;
2652 output TBYTEOUT;
2653 output TFB;
2654 output TQ;
2655 input CLK;
2656 input CLKDIV;
2657 input D1;
2658 input D2;
2659 input D3;
2660 input D4;
2661 input D5;
2662 input D6;
2663 input D7;
2664 input D8;
2665 input OCE;
2666 input RST;
2667 input SHIFTIN1;
2668 input SHIFTIN2;
2669 input T1;
2670 input T2;
2671 input T3;
2672 input T4;
2673 input TBYTEIN;
2674 input TCE;
2675 endmodule
2676
2677 module OUT_FIFO (...);
2678 parameter integer ALMOST_EMPTY_VALUE = 1;
2679 parameter integer ALMOST_FULL_VALUE = 1;
2680 parameter ARRAY_MODE = "ARRAY_MODE_8_X_4";
2681 parameter OUTPUT_DISABLE = "FALSE";
2682 parameter SYNCHRONOUS_MODE = "FALSE";
2683 output ALMOSTEMPTY;
2684 output ALMOSTFULL;
2685 output EMPTY;
2686 output FULL;
2687 output [3:0] Q0;
2688 output [3:0] Q1;
2689 output [3:0] Q2;
2690 output [3:0] Q3;
2691 output [3:0] Q4;
2692 output [3:0] Q7;
2693 output [3:0] Q8;
2694 output [3:0] Q9;
2695 output [7:0] Q5;
2696 output [7:0] Q6;
2697 input RDCLK;
2698 input RDEN;
2699 input RESET;
2700 input WRCLK;
2701 input WREN;
2702 input [7:0] D0;
2703 input [7:0] D1;
2704 input [7:0] D2;
2705 input [7:0] D3;
2706 input [7:0] D4;
2707 input [7:0] D5;
2708 input [7:0] D6;
2709 input [7:0] D7;
2710 input [7:0] D8;
2711 input [7:0] D9;
2712 endmodule
2713
2714 module PHASER_IN (...);
2715 parameter integer CLKOUT_DIV = 4;
2716 parameter DQS_BIAS_MODE = "FALSE";
2717 parameter EN_ISERDES_RST = "FALSE";
2718 parameter integer FINE_DELAY = 0;
2719 parameter FREQ_REF_DIV = "NONE";
2720 parameter [0:0] IS_RST_INVERTED = 1'b0;
2721 parameter real MEMREFCLK_PERIOD = 0.000;
2722 parameter OUTPUT_CLK_SRC = "PHASE_REF";
2723 parameter real PHASEREFCLK_PERIOD = 0.000;
2724 parameter real REFCLK_PERIOD = 0.000;
2725 parameter integer SEL_CLK_OFFSET = 5;
2726 parameter SYNC_IN_DIV_RST = "FALSE";
2727 output FINEOVERFLOW;
2728 output ICLK;
2729 output ICLKDIV;
2730 output ISERDESRST;
2731 output RCLK;
2732 output [5:0] COUNTERREADVAL;
2733 input COUNTERLOADEN;
2734 input COUNTERREADEN;
2735 input DIVIDERST;
2736 input EDGEADV;
2737 input FINEENABLE;
2738 input FINEINC;
2739 input FREQREFCLK;
2740 input MEMREFCLK;
2741 input PHASEREFCLK;
2742 input RST;
2743 input SYNCIN;
2744 input SYSCLK;
2745 input [1:0] RANKSEL;
2746 input [5:0] COUNTERLOADVAL;
2747 endmodule
2748
2749 module PHASER_IN_PHY (...);
2750 parameter BURST_MODE = "FALSE";
2751 parameter integer CLKOUT_DIV = 4;
2752 parameter [0:0] DQS_AUTO_RECAL = 1'b1;
2753 parameter DQS_BIAS_MODE = "FALSE";
2754 parameter [2:0] DQS_FIND_PATTERN = 3'b001;
2755 parameter integer FINE_DELAY = 0;
2756 parameter FREQ_REF_DIV = "NONE";
2757 parameter [0:0] IS_RST_INVERTED = 1'b0;
2758 parameter real MEMREFCLK_PERIOD = 0.000;
2759 parameter OUTPUT_CLK_SRC = "PHASE_REF";
2760 parameter real PHASEREFCLK_PERIOD = 0.000;
2761 parameter real REFCLK_PERIOD = 0.000;
2762 parameter integer SEL_CLK_OFFSET = 5;
2763 parameter SYNC_IN_DIV_RST = "FALSE";
2764 parameter WR_CYCLES = "FALSE";
2765 output DQSFOUND;
2766 output DQSOUTOFRANGE;
2767 output FINEOVERFLOW;
2768 output ICLK;
2769 output ICLKDIV;
2770 output ISERDESRST;
2771 output PHASELOCKED;
2772 output RCLK;
2773 output WRENABLE;
2774 output [5:0] COUNTERREADVAL;
2775 input BURSTPENDINGPHY;
2776 input COUNTERLOADEN;
2777 input COUNTERREADEN;
2778 input FINEENABLE;
2779 input FINEINC;
2780 input FREQREFCLK;
2781 input MEMREFCLK;
2782 input PHASEREFCLK;
2783 input RST;
2784 input RSTDQSFIND;
2785 input SYNCIN;
2786 input SYSCLK;
2787 input [1:0] ENCALIBPHY;
2788 input [1:0] RANKSELPHY;
2789 input [5:0] COUNTERLOADVAL;
2790 endmodule
2791
2792 module PHASER_OUT (...);
2793 parameter integer CLKOUT_DIV = 4;
2794 parameter COARSE_BYPASS = "FALSE";
2795 parameter integer COARSE_DELAY = 0;
2796 parameter EN_OSERDES_RST = "FALSE";
2797 parameter integer FINE_DELAY = 0;
2798 parameter [0:0] IS_RST_INVERTED = 1'b0;
2799 parameter real MEMREFCLK_PERIOD = 0.000;
2800 parameter OCLKDELAY_INV = "FALSE";
2801 parameter integer OCLK_DELAY = 0;
2802 parameter OUTPUT_CLK_SRC = "PHASE_REF";
2803 parameter real PHASEREFCLK_PERIOD = 0.000;
2804 parameter [2:0] PO = 3'b000;
2805 parameter real REFCLK_PERIOD = 0.000;
2806 parameter SYNC_IN_DIV_RST = "FALSE";
2807 output COARSEOVERFLOW;
2808 output FINEOVERFLOW;
2809 output OCLK;
2810 output OCLKDELAYED;
2811 output OCLKDIV;
2812 output OSERDESRST;
2813 output [8:0] COUNTERREADVAL;
2814 input COARSEENABLE;
2815 input COARSEINC;
2816 input COUNTERLOADEN;
2817 input COUNTERREADEN;
2818 input DIVIDERST;
2819 input EDGEADV;
2820 input FINEENABLE;
2821 input FINEINC;
2822 input FREQREFCLK;
2823 input MEMREFCLK;
2824 input PHASEREFCLK;
2825 input RST;
2826 input SELFINEOCLKDELAY;
2827 input SYNCIN;
2828 input SYSCLK;
2829 input [8:0] COUNTERLOADVAL;
2830 endmodule
2831
2832 module PHASER_OUT_PHY (...);
2833 parameter integer CLKOUT_DIV = 4;
2834 parameter COARSE_BYPASS = "FALSE";
2835 parameter integer COARSE_DELAY = 0;
2836 parameter DATA_CTL_N = "FALSE";
2837 parameter DATA_RD_CYCLES = "FALSE";
2838 parameter integer FINE_DELAY = 0;
2839 parameter [0:0] IS_RST_INVERTED = 1'b0;
2840 parameter real MEMREFCLK_PERIOD = 0.000;
2841 parameter OCLKDELAY_INV = "FALSE";
2842 parameter integer OCLK_DELAY = 0;
2843 parameter OUTPUT_CLK_SRC = "PHASE_REF";
2844 parameter real PHASEREFCLK_PERIOD = 0.000;
2845 parameter [2:0] PO = 3'b000;
2846 parameter real REFCLK_PERIOD = 0.000;
2847 parameter SYNC_IN_DIV_RST = "FALSE";
2848 output COARSEOVERFLOW;
2849 output FINEOVERFLOW;
2850 output OCLK;
2851 output OCLKDELAYED;
2852 output OCLKDIV;
2853 output OSERDESRST;
2854 output RDENABLE;
2855 output [1:0] CTSBUS;
2856 output [1:0] DQSBUS;
2857 output [1:0] DTSBUS;
2858 output [8:0] COUNTERREADVAL;
2859 input BURSTPENDINGPHY;
2860 input COARSEENABLE;
2861 input COARSEINC;
2862 input COUNTERLOADEN;
2863 input COUNTERREADEN;
2864 input FINEENABLE;
2865 input FINEINC;
2866 input FREQREFCLK;
2867 input MEMREFCLK;
2868 input PHASEREFCLK;
2869 input RST;
2870 input SELFINEOCLKDELAY;
2871 input SYNCIN;
2872 input SYSCLK;
2873 input [1:0] ENCALIBPHY;
2874 input [8:0] COUNTERLOADVAL;
2875 endmodule
2876
2877 module PHASER_REF (...);
2878 parameter [0:0] IS_RST_INVERTED = 1'b0;
2879 parameter [0:0] IS_PWRDWN_INVERTED = 1'b0;
2880 output LOCKED;
2881 input CLKIN;
2882 input PWRDWN;
2883 input RST;
2884 endmodule
2885
2886 module PHY_CONTROL (...);
2887 parameter integer AO_TOGGLE = 0;
2888 parameter [3:0] AO_WRLVL_EN = 4'b0000;
2889 parameter BURST_MODE = "FALSE";
2890 parameter integer CLK_RATIO = 1;
2891 parameter integer CMD_OFFSET = 0;
2892 parameter integer CO_DURATION = 0;
2893 parameter DATA_CTL_A_N = "FALSE";
2894 parameter DATA_CTL_B_N = "FALSE";
2895 parameter DATA_CTL_C_N = "FALSE";
2896 parameter DATA_CTL_D_N = "FALSE";
2897 parameter DISABLE_SEQ_MATCH = "TRUE";
2898 parameter integer DI_DURATION = 0;
2899 parameter integer DO_DURATION = 0;
2900 parameter integer EVENTS_DELAY = 63;
2901 parameter integer FOUR_WINDOW_CLOCKS = 63;
2902 parameter MULTI_REGION = "FALSE";
2903 parameter PHY_COUNT_ENABLE = "FALSE";
2904 parameter integer RD_CMD_OFFSET_0 = 0;
2905 parameter integer RD_CMD_OFFSET_1 = 00;
2906 parameter integer RD_CMD_OFFSET_2 = 0;
2907 parameter integer RD_CMD_OFFSET_3 = 0;
2908 parameter integer RD_DURATION_0 = 0;
2909 parameter integer RD_DURATION_1 = 0;
2910 parameter integer RD_DURATION_2 = 0;
2911 parameter integer RD_DURATION_3 = 0;
2912 parameter SYNC_MODE = "FALSE";
2913 parameter integer WR_CMD_OFFSET_0 = 0;
2914 parameter integer WR_CMD_OFFSET_1 = 0;
2915 parameter integer WR_CMD_OFFSET_2 = 0;
2916 parameter integer WR_CMD_OFFSET_3 = 0;
2917 parameter integer WR_DURATION_0 = 0;
2918 parameter integer WR_DURATION_1 = 0;
2919 parameter integer WR_DURATION_2 = 0;
2920 parameter integer WR_DURATION_3 = 0;
2921 output PHYCTLALMOSTFULL;
2922 output PHYCTLEMPTY;
2923 output PHYCTLFULL;
2924 output PHYCTLREADY;
2925 output [1:0] INRANKA;
2926 output [1:0] INRANKB;
2927 output [1:0] INRANKC;
2928 output [1:0] INRANKD;
2929 output [1:0] PCENABLECALIB;
2930 output [3:0] AUXOUTPUT;
2931 output [3:0] INBURSTPENDING;
2932 output [3:0] OUTBURSTPENDING;
2933 input MEMREFCLK;
2934 input PHYCLK;
2935 input PHYCTLMSTREMPTY;
2936 input PHYCTLWRENABLE;
2937 input PLLLOCK;
2938 input READCALIBENABLE;
2939 input REFDLLLOCK;
2940 input RESET;
2941 input SYNCIN;
2942 input WRITECALIBENABLE;
2943 input [31:0] PHYCTLWD;
2944 endmodule
2945
2946 module PLLE2_ADV (...);
2947 parameter BANDWIDTH = "OPTIMIZED";
2948 parameter COMPENSATION = "ZHOLD";
2949 parameter STARTUP_WAIT = "FALSE";
2950 parameter integer CLKOUT0_DIVIDE = 1;
2951 parameter integer CLKOUT1_DIVIDE = 1;
2952 parameter integer CLKOUT2_DIVIDE = 1;
2953 parameter integer CLKOUT3_DIVIDE = 1;
2954 parameter integer CLKOUT4_DIVIDE = 1;
2955 parameter integer CLKOUT5_DIVIDE = 1;
2956 parameter integer DIVCLK_DIVIDE = 1;
2957 parameter integer CLKFBOUT_MULT = 5;
2958 parameter real CLKFBOUT_PHASE = 0.000;
2959 parameter real CLKIN1_PERIOD = 0.000;
2960 parameter real CLKIN2_PERIOD = 0.000;
2961 parameter real CLKOUT0_DUTY_CYCLE = 0.500;
2962 parameter real CLKOUT0_PHASE = 0.000;
2963 parameter real CLKOUT1_DUTY_CYCLE = 0.500;
2964 parameter real CLKOUT1_PHASE = 0.000;
2965 parameter real CLKOUT2_DUTY_CYCLE = 0.500;
2966 parameter real CLKOUT2_PHASE = 0.000;
2967 parameter real CLKOUT3_DUTY_CYCLE = 0.500;
2968 parameter real CLKOUT3_PHASE = 0.000;
2969 parameter real CLKOUT4_DUTY_CYCLE = 0.500;
2970 parameter real CLKOUT4_PHASE = 0.000;
2971 parameter real CLKOUT5_DUTY_CYCLE = 0.500;
2972 parameter real CLKOUT5_PHASE = 0.000;
2973 parameter [0:0] IS_CLKINSEL_INVERTED = 1'b0;
2974 parameter [0:0] IS_PWRDWN_INVERTED = 1'b0;
2975 parameter [0:0] IS_RST_INVERTED = 1'b0;
2976 parameter real REF_JITTER1 = 0.010;
2977 parameter real REF_JITTER2 = 0.010;
2978 parameter real VCOCLK_FREQ_MAX = 2133.000;
2979 parameter real VCOCLK_FREQ_MIN = 800.000;
2980 parameter real CLKIN_FREQ_MAX = 1066.000;
2981 parameter real CLKIN_FREQ_MIN = 19.000;
2982 parameter real CLKPFD_FREQ_MAX = 550.0;
2983 parameter real CLKPFD_FREQ_MIN = 19.0;
2984 output CLKFBOUT;
2985 output CLKOUT0;
2986 output CLKOUT1;
2987 output CLKOUT2;
2988 output CLKOUT3;
2989 output CLKOUT4;
2990 output CLKOUT5;
2991 output DRDY;
2992 output LOCKED;
2993 output [15:0] DO;
2994 input CLKFBIN;
2995 input CLKIN1;
2996 input CLKIN2;
2997 input CLKINSEL;
2998 input DCLK;
2999 input DEN;
3000 input DWE;
3001 input PWRDWN;
3002 input RST;
3003 input [15:0] DI;
3004 input [6:0] DADDR;
3005 endmodule
3006
3007 module PLLE2_BASE (...);
3008 parameter BANDWIDTH = "OPTIMIZED";
3009 parameter integer CLKFBOUT_MULT = 5;
3010 parameter real CLKFBOUT_PHASE = 0.000;
3011 parameter real CLKIN1_PERIOD = 0.000;
3012 parameter integer CLKOUT0_DIVIDE = 1;
3013 parameter real CLKOUT0_DUTY_CYCLE = 0.500;
3014 parameter real CLKOUT0_PHASE = 0.000;
3015 parameter integer CLKOUT1_DIVIDE = 1;
3016 parameter real CLKOUT1_DUTY_CYCLE = 0.500;
3017 parameter real CLKOUT1_PHASE = 0.000;
3018 parameter integer CLKOUT2_DIVIDE = 1;
3019 parameter real CLKOUT2_DUTY_CYCLE = 0.500;
3020 parameter real CLKOUT2_PHASE = 0.000;
3021 parameter integer CLKOUT3_DIVIDE = 1;
3022 parameter real CLKOUT3_DUTY_CYCLE = 0.500;
3023 parameter real CLKOUT3_PHASE = 0.000;
3024 parameter integer CLKOUT4_DIVIDE = 1;
3025 parameter real CLKOUT4_DUTY_CYCLE = 0.500;
3026 parameter real CLKOUT4_PHASE = 0.000;
3027 parameter integer CLKOUT5_DIVIDE = 1;
3028 parameter real CLKOUT5_DUTY_CYCLE = 0.500;
3029 parameter real CLKOUT5_PHASE = 0.000;
3030 parameter integer DIVCLK_DIVIDE = 1;
3031 parameter real REF_JITTER1 = 0.010;
3032 parameter STARTUP_WAIT = "FALSE";
3033 output CLKFBOUT;
3034 output CLKOUT0;
3035 output CLKOUT1;
3036 output CLKOUT2;
3037 output CLKOUT3;
3038 output CLKOUT4;
3039 output CLKOUT5;
3040 output LOCKED;
3041 input CLKFBIN;
3042 input CLKIN1;
3043 input PWRDWN;
3044 input RST;
3045 endmodule
3046
3047 module PULLDOWN (...);
3048 output O;
3049 endmodule
3050
3051 module PULLUP (...);
3052 output O;
3053 endmodule
3054
3055 module RAM128X1S (...);
3056 parameter [127:0] INIT = 128'h00000000000000000000000000000000;
3057 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3058 output O;
3059 input A0, A1, A2, A3, A4, A5, A6, D, WCLK, WE;
3060 endmodule
3061
3062 module RAM256X1S (...);
3063 parameter [255:0] INIT = 256'h0;
3064 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3065 output O;
3066 input [7:0] A;
3067 input D;
3068 input WCLK;
3069 input WE;
3070 endmodule
3071
3072 module RAM32M (...);
3073 parameter [63:0] INIT_A = 64'h0000000000000000;
3074 parameter [63:0] INIT_B = 64'h0000000000000000;
3075 parameter [63:0] INIT_C = 64'h0000000000000000;
3076 parameter [63:0] INIT_D = 64'h0000000000000000;
3077 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3078 output [1:0] DOA;
3079 output [1:0] DOB;
3080 output [1:0] DOC;
3081 output [1:0] DOD;
3082 input [4:0] ADDRA;
3083 input [4:0] ADDRB;
3084 input [4:0] ADDRC;
3085 input [4:0] ADDRD;
3086 input [1:0] DIA;
3087 input [1:0] DIB;
3088 input [1:0] DIC;
3089 input [1:0] DID;
3090 input WCLK;
3091 input WE;
3092 endmodule
3093
3094 module RAM32X1D (...);
3095 parameter [31:0] INIT = 32'h00000000;
3096 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3097 output DPO, SPO;
3098 input A0, A1, A2, A3, A4, D, DPRA0, DPRA1, DPRA2, DPRA3, DPRA4, WCLK, WE;
3099 endmodule
3100
3101 module RAM32X1S (...);
3102 parameter [31:0] INIT = 32'h00000000;
3103 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3104 output O;
3105 input A0, A1, A2, A3, A4, D, WCLK, WE;
3106 endmodule
3107
3108 module RAM32X1S_1 (...);
3109 parameter [31:0] INIT = 32'h00000000;
3110 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3111 output O;
3112 input A0, A1, A2, A3, A4, D, WCLK, WE;
3113 endmodule
3114
3115 module RAM32X2S (...);
3116 parameter [31:0] INIT_00 = 32'h00000000;
3117 parameter [31:0] INIT_01 = 32'h00000000;
3118 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3119 output O0, O1;
3120 input A0, A1, A2, A3, A4, D0, D1, WCLK, WE;
3121 endmodule
3122
3123 module RAM64M (...);
3124 parameter [63:0] INIT_A = 64'h0000000000000000;
3125 parameter [63:0] INIT_B = 64'h0000000000000000;
3126 parameter [63:0] INIT_C = 64'h0000000000000000;
3127 parameter [63:0] INIT_D = 64'h0000000000000000;
3128 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3129 output DOA;
3130 output DOB;
3131 output DOC;
3132 output DOD;
3133 input [5:0] ADDRA;
3134 input [5:0] ADDRB;
3135 input [5:0] ADDRC;
3136 input [5:0] ADDRD;
3137 input DIA;
3138 input DIB;
3139 input DIC;
3140 input DID;
3141 input WCLK;
3142 input WE;
3143 endmodule
3144
3145 module RAM64X1S (...);
3146 parameter [63:0] INIT = 64'h0000000000000000;
3147 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3148 output O;
3149 input A0, A1, A2, A3, A4, A5, D, WCLK, WE;
3150 endmodule
3151
3152 module RAM64X1S_1 (...);
3153 parameter [63:0] INIT = 64'h0000000000000000;
3154 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3155 output O;
3156 input A0, A1, A2, A3, A4, A5, D, WCLK, WE;
3157 endmodule
3158
3159 module RAM64X2S (...);
3160 parameter [63:0] INIT_00 = 64'h0000000000000000;
3161 parameter [63:0] INIT_01 = 64'h0000000000000000;
3162 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3163 output O0, O1;
3164 input A0, A1, A2, A3, A4, A5, D0, D1, WCLK, WE;
3165 endmodule
3166
3167 module ROM128X1 (...);
3168 parameter [127:0] INIT = 128'h00000000000000000000000000000000;
3169 output O;
3170 input A0, A1, A2, A3, A4, A5, A6;
3171 endmodule
3172
3173 module ROM256X1 (...);
3174 parameter [255:0] INIT = 256'h0000000000000000000000000000000000000000000000000000000000000000;
3175 output O;
3176 input A0, A1, A2, A3, A4, A5, A6, A7;
3177 endmodule
3178
3179 module ROM32X1 (...);
3180 parameter [31:0] INIT = 32'h00000000;
3181 output O;
3182 input A0, A1, A2, A3, A4;
3183 endmodule
3184
3185 module ROM64X1 (...);
3186 parameter [63:0] INIT = 64'h0000000000000000;
3187 output O;
3188 input A0, A1, A2, A3, A4, A5;
3189 endmodule
3190
3191 module SRL16E (...);
3192 parameter [15:0] INIT = 16'h0000;
3193 parameter [0:0] IS_CLK_INVERTED = 1'b0;
3194 output Q;
3195 input A0, A1, A2, A3, CE, CLK, D;
3196 endmodule
3197
3198 module SRLC32E (...);
3199 parameter [31:0] INIT = 32'h00000000;
3200 parameter [0:0] IS_CLK_INVERTED = 1'b0;
3201 output Q;
3202 output Q31;
3203 input [4:0] A;
3204 input CE, CLK, D;
3205 endmodule
3206
3207 module STARTUPE2 (...);
3208 parameter PROG_USR = "FALSE";
3209 parameter real SIM_CCLK_FREQ = 0.0;
3210 output CFGCLK;
3211 output CFGMCLK;
3212 output EOS;
3213 output PREQ;
3214 input CLK;
3215 input GSR;
3216 input GTS;
3217 input KEYCLEARB;
3218 input PACK;
3219 input USRCCLKO;
3220 input USRCCLKTS;
3221 input USRDONEO;
3222 input USRDONETS;
3223 endmodule
3224
3225 module USR_ACCESSE2 (...);
3226 output CFGCLK;
3227 output DATAVALID;
3228 output [31:0] DATA;
3229 endmodule
3230
3231 module XADC (...);
3232 output BUSY;
3233 output DRDY;
3234 output EOC;
3235 output EOS;
3236 output JTAGBUSY;
3237 output JTAGLOCKED;
3238 output JTAGMODIFIED;
3239 output OT;
3240 output [15:0] DO;
3241 output [7:0] ALM;
3242 output [4:0] CHANNEL;
3243 output [4:0] MUXADDR;
3244 input CONVST;
3245 input CONVSTCLK;
3246 input DCLK;
3247 input DEN;
3248 input DWE;
3249 input RESET;
3250 input VN;
3251 input VP;
3252 input [15:0] DI;
3253 input [15:0] VAUXN;
3254 input [15:0] VAUXP;
3255 input [6:0] DADDR;
3256 parameter [15:0] INIT_40 = 16'h0;
3257 parameter [15:0] INIT_41 = 16'h0;
3258 parameter [15:0] INIT_42 = 16'h0800;
3259 parameter [15:0] INIT_43 = 16'h0;
3260 parameter [15:0] INIT_44 = 16'h0;
3261 parameter [15:0] INIT_45 = 16'h0;
3262 parameter [15:0] INIT_46 = 16'h0;
3263 parameter [15:0] INIT_47 = 16'h0;
3264 parameter [15:0] INIT_48 = 16'h0;
3265 parameter [15:0] INIT_49 = 16'h0;
3266 parameter [15:0] INIT_4A = 16'h0;
3267 parameter [15:0] INIT_4B = 16'h0;
3268 parameter [15:0] INIT_4C = 16'h0;
3269 parameter [15:0] INIT_4D = 16'h0;
3270 parameter [15:0] INIT_4E = 16'h0;
3271 parameter [15:0] INIT_4F = 16'h0;
3272 parameter [15:0] INIT_50 = 16'h0;
3273 parameter [15:0] INIT_51 = 16'h0;
3274 parameter [15:0] INIT_52 = 16'h0;
3275 parameter [15:0] INIT_53 = 16'h0;
3276 parameter [15:0] INIT_54 = 16'h0;
3277 parameter [15:0] INIT_55 = 16'h0;
3278 parameter [15:0] INIT_56 = 16'h0;
3279 parameter [15:0] INIT_57 = 16'h0;
3280 parameter [15:0] INIT_58 = 16'h0;
3281 parameter [15:0] INIT_59 = 16'h0;
3282 parameter [15:0] INIT_5A = 16'h0;
3283 parameter [15:0] INIT_5B = 16'h0;
3284 parameter [15:0] INIT_5C = 16'h0;
3285 parameter [15:0] INIT_5D = 16'h0;
3286 parameter [15:0] INIT_5E = 16'h0;
3287 parameter [15:0] INIT_5F = 16'h0;
3288 parameter IS_CONVSTCLK_INVERTED = 1'b0;
3289 parameter IS_DCLK_INVERTED = 1'b0;
3290 parameter SIM_DEVICE = "7SERIES";
3291 parameter SIM_MONITOR_FILE = "design.txt";
3292 endmodule
3293