Merge branch 'eddie/xilinx_srl' into xaig_arrival
[yosys.git] / techlibs / xilinx / cells_xtra.v
1 // Created by cells_xtra.py from Xilinx models
2
3 (* keep *)
4 module BSCANE2 (...);
5 parameter DISABLE_JTAG = "FALSE";
6 parameter integer JTAG_CHAIN = 1;
7 output CAPTURE;
8 output DRCK;
9 output RESET;
10 output RUNTEST;
11 output SEL;
12 output SHIFT;
13 output TCK;
14 output TDI;
15 output TMS;
16 output UPDATE;
17 input TDO;
18 endmodule
19
20 module BUFGCE (...);
21 parameter CE_TYPE = "SYNC";
22 parameter [0:0] IS_CE_INVERTED = 1'b0;
23 parameter [0:0] IS_I_INVERTED = 1'b0;
24 (* clkbuf_driver *)
25 output O;
26 input CE;
27 input I;
28 endmodule
29
30 module BUFGCE_1 (...);
31 (* clkbuf_driver *)
32 output O;
33 input CE;
34 input I;
35 endmodule
36
37 module BUFGMUX (...);
38 parameter CLK_SEL_TYPE = "SYNC";
39 (* clkbuf_driver *)
40 output O;
41 input I0;
42 input I1;
43 input S;
44 endmodule
45
46 module BUFGMUX_1 (...);
47 parameter CLK_SEL_TYPE = "SYNC";
48 (* clkbuf_driver *)
49 output O;
50 input I0;
51 input I1;
52 input S;
53 endmodule
54
55 module BUFGMUX_CTRL (...);
56 (* clkbuf_driver *)
57 output O;
58 input I0;
59 input I1;
60 input S;
61 endmodule
62
63 module BUFH (...);
64 (* clkbuf_driver *)
65 output O;
66 input I;
67 endmodule
68
69 module BUFIO (...);
70 (* clkbuf_driver *)
71 output O;
72 input I;
73 endmodule
74
75 module BUFMR (...);
76 (* clkbuf_driver *)
77 output O;
78 input I;
79 endmodule
80
81 module BUFMRCE (...);
82 parameter CE_TYPE = "SYNC";
83 parameter integer INIT_OUT = 0;
84 parameter [0:0] IS_CE_INVERTED = 1'b0;
85 (* clkbuf_driver *)
86 output O;
87 input CE;
88 input I;
89 endmodule
90
91 module BUFR (...);
92 (* clkbuf_driver *)
93 output O;
94 input CE;
95 input CLR;
96 input I;
97 parameter BUFR_DIVIDE = "BYPASS";
98 parameter SIM_DEVICE = "7SERIES";
99 endmodule
100
101 (* keep *)
102 module CAPTUREE2 (...);
103 parameter ONESHOT = "TRUE";
104 input CAP;
105 input CLK;
106 endmodule
107
108 module CFGLUT5 (...);
109 parameter [31:0] INIT = 32'h00000000;
110 parameter [0:0] IS_CLK_INVERTED = 1'b0;
111 output CDO;
112 output O5;
113 output O6;
114 input I4;
115 input I3;
116 input I2;
117 input I1;
118 input I0;
119 input CDI;
120 input CE;
121 (* clkbuf_sink *)
122 input CLK;
123 endmodule
124
125 (* keep *)
126 module DCIRESET (...);
127 output LOCKED;
128 input RST;
129 endmodule
130
131 module DNA_PORT (...);
132 parameter [56:0] SIM_DNA_VALUE = 57'h0;
133 output DOUT;
134 input CLK;
135 input DIN;
136 input READ;
137 input SHIFT;
138 endmodule
139
140 module DSP48E1 (...);
141 parameter integer ACASCREG = 1;
142 parameter integer ADREG = 1;
143 parameter integer ALUMODEREG = 1;
144 parameter integer AREG = 1;
145 parameter AUTORESET_PATDET = "NO_RESET";
146 parameter A_INPUT = "DIRECT";
147 parameter integer BCASCREG = 1;
148 parameter integer BREG = 1;
149 parameter B_INPUT = "DIRECT";
150 parameter integer CARRYINREG = 1;
151 parameter integer CARRYINSELREG = 1;
152 parameter integer CREG = 1;
153 parameter integer DREG = 1;
154 parameter integer INMODEREG = 1;
155 parameter integer MREG = 1;
156 parameter integer OPMODEREG = 1;
157 parameter integer PREG = 1;
158 parameter SEL_MASK = "MASK";
159 parameter SEL_PATTERN = "PATTERN";
160 parameter USE_DPORT = "FALSE";
161 parameter USE_MULT = "MULTIPLY";
162 parameter USE_PATTERN_DETECT = "NO_PATDET";
163 parameter USE_SIMD = "ONE48";
164 parameter [47:0] MASK = 48'h3FFFFFFFFFFF;
165 parameter [47:0] PATTERN = 48'h000000000000;
166 parameter [3:0] IS_ALUMODE_INVERTED = 4'b0;
167 parameter [0:0] IS_CARRYIN_INVERTED = 1'b0;
168 parameter [0:0] IS_CLK_INVERTED = 1'b0;
169 parameter [4:0] IS_INMODE_INVERTED = 5'b0;
170 parameter [6:0] IS_OPMODE_INVERTED = 7'b0;
171 output [29:0] ACOUT;
172 output [17:0] BCOUT;
173 output CARRYCASCOUT;
174 output [3:0] CARRYOUT;
175 output MULTSIGNOUT;
176 output OVERFLOW;
177 output [47:0] P;
178 output PATTERNBDETECT;
179 output PATTERNDETECT;
180 output [47:0] PCOUT;
181 output UNDERFLOW;
182 input [29:0] A;
183 input [29:0] ACIN;
184 input [3:0] ALUMODE;
185 input [17:0] B;
186 input [17:0] BCIN;
187 input [47:0] C;
188 input CARRYCASCIN;
189 input CARRYIN;
190 input [2:0] CARRYINSEL;
191 input CEA1;
192 input CEA2;
193 input CEAD;
194 input CEALUMODE;
195 input CEB1;
196 input CEB2;
197 input CEC;
198 input CECARRYIN;
199 input CECTRL;
200 input CED;
201 input CEINMODE;
202 input CEM;
203 input CEP;
204 (* clkbuf_sink *)
205 input CLK;
206 input [24:0] D;
207 input [4:0] INMODE;
208 input MULTSIGNIN;
209 input [6:0] OPMODE;
210 input [47:0] PCIN;
211 input RSTA;
212 input RSTALLCARRYIN;
213 input RSTALUMODE;
214 input RSTB;
215 input RSTC;
216 input RSTCTRL;
217 input RSTD;
218 input RSTINMODE;
219 input RSTM;
220 input RSTP;
221 endmodule
222
223 module EFUSE_USR (...);
224 parameter [31:0] SIM_EFUSE_VALUE = 32'h00000000;
225 output [31:0] EFUSEUSR;
226 endmodule
227
228 module FIFO18E1 (...);
229 parameter ALMOST_EMPTY_OFFSET = 13'h0080;
230 parameter ALMOST_FULL_OFFSET = 13'h0080;
231 parameter integer DATA_WIDTH = 4;
232 parameter integer DO_REG = 1;
233 parameter EN_SYN = "FALSE";
234 parameter FIFO_MODE = "FIFO18";
235 parameter FIRST_WORD_FALL_THROUGH = "FALSE";
236 parameter INIT = 36'h0;
237 parameter SIM_DEVICE = "VIRTEX6";
238 parameter SRVAL = 36'h0;
239 parameter IS_RDCLK_INVERTED = 1'b0;
240 parameter IS_RDEN_INVERTED = 1'b0;
241 parameter IS_RSTREG_INVERTED = 1'b0;
242 parameter IS_RST_INVERTED = 1'b0;
243 parameter IS_WRCLK_INVERTED = 1'b0;
244 parameter IS_WREN_INVERTED = 1'b0;
245 output ALMOSTEMPTY;
246 output ALMOSTFULL;
247 output [31:0] DO;
248 output [3:0] DOP;
249 output EMPTY;
250 output FULL;
251 output [11:0] RDCOUNT;
252 output RDERR;
253 output [11:0] WRCOUNT;
254 output WRERR;
255 input [31:0] DI;
256 input [3:0] DIP;
257 (* clkbuf_sink *)
258 input RDCLK;
259 input RDEN;
260 input REGCE;
261 input RST;
262 input RSTREG;
263 (* clkbuf_sink *)
264 input WRCLK;
265 input WREN;
266 endmodule
267
268 module FIFO36E1 (...);
269 parameter ALMOST_EMPTY_OFFSET = 13'h0080;
270 parameter ALMOST_FULL_OFFSET = 13'h0080;
271 parameter integer DATA_WIDTH = 4;
272 parameter integer DO_REG = 1;
273 parameter EN_ECC_READ = "FALSE";
274 parameter EN_ECC_WRITE = "FALSE";
275 parameter EN_SYN = "FALSE";
276 parameter FIFO_MODE = "FIFO36";
277 parameter FIRST_WORD_FALL_THROUGH = "FALSE";
278 parameter INIT = 72'h0;
279 parameter SIM_DEVICE = "VIRTEX6";
280 parameter SRVAL = 72'h0;
281 parameter IS_RDCLK_INVERTED = 1'b0;
282 parameter IS_RDEN_INVERTED = 1'b0;
283 parameter IS_RSTREG_INVERTED = 1'b0;
284 parameter IS_RST_INVERTED = 1'b0;
285 parameter IS_WRCLK_INVERTED = 1'b0;
286 parameter IS_WREN_INVERTED = 1'b0;
287 output ALMOSTEMPTY;
288 output ALMOSTFULL;
289 output DBITERR;
290 output [63:0] DO;
291 output [7:0] DOP;
292 output [7:0] ECCPARITY;
293 output EMPTY;
294 output FULL;
295 output [12:0] RDCOUNT;
296 output RDERR;
297 output SBITERR;
298 output [12:0] WRCOUNT;
299 output WRERR;
300 input [63:0] DI;
301 input [7:0] DIP;
302 input INJECTDBITERR;
303 input INJECTSBITERR;
304 (* clkbuf_sink *)
305 input RDCLK;
306 input RDEN;
307 input REGCE;
308 input RST;
309 input RSTREG;
310 (* clkbuf_sink *)
311 input WRCLK;
312 input WREN;
313 endmodule
314
315 module FRAME_ECCE2 (...);
316 parameter FARSRC = "EFAR";
317 parameter FRAME_RBT_IN_FILENAME = "NONE";
318 output CRCERROR;
319 output ECCERROR;
320 output ECCERRORSINGLE;
321 output SYNDROMEVALID;
322 output [12:0] SYNDROME;
323 output [25:0] FAR;
324 output [4:0] SYNBIT;
325 output [6:0] SYNWORD;
326 endmodule
327
328 module GTHE2_CHANNEL (...);
329 parameter [0:0] ACJTAG_DEBUG_MODE = 1'b0;
330 parameter [0:0] ACJTAG_MODE = 1'b0;
331 parameter [0:0] ACJTAG_RESET = 1'b0;
332 parameter [19:0] ADAPT_CFG0 = 20'h00C10;
333 parameter ALIGN_COMMA_DOUBLE = "FALSE";
334 parameter [9:0] ALIGN_COMMA_ENABLE = 10'b0001111111;
335 parameter integer ALIGN_COMMA_WORD = 1;
336 parameter ALIGN_MCOMMA_DET = "TRUE";
337 parameter [9:0] ALIGN_MCOMMA_VALUE = 10'b1010000011;
338 parameter ALIGN_PCOMMA_DET = "TRUE";
339 parameter [9:0] ALIGN_PCOMMA_VALUE = 10'b0101111100;
340 parameter [0:0] A_RXOSCALRESET = 1'b0;
341 parameter CBCC_DATA_SOURCE_SEL = "DECODED";
342 parameter [41:0] CFOK_CFG = 42'h24800040E80;
343 parameter [5:0] CFOK_CFG2 = 6'b100000;
344 parameter [5:0] CFOK_CFG3 = 6'b100000;
345 parameter CHAN_BOND_KEEP_ALIGN = "FALSE";
346 parameter integer CHAN_BOND_MAX_SKEW = 7;
347 parameter [9:0] CHAN_BOND_SEQ_1_1 = 10'b0101111100;
348 parameter [9:0] CHAN_BOND_SEQ_1_2 = 10'b0000000000;
349 parameter [9:0] CHAN_BOND_SEQ_1_3 = 10'b0000000000;
350 parameter [9:0] CHAN_BOND_SEQ_1_4 = 10'b0000000000;
351 parameter [3:0] CHAN_BOND_SEQ_1_ENABLE = 4'b1111;
352 parameter [9:0] CHAN_BOND_SEQ_2_1 = 10'b0100000000;
353 parameter [9:0] CHAN_BOND_SEQ_2_2 = 10'b0100000000;
354 parameter [9:0] CHAN_BOND_SEQ_2_3 = 10'b0100000000;
355 parameter [9:0] CHAN_BOND_SEQ_2_4 = 10'b0100000000;
356 parameter [3:0] CHAN_BOND_SEQ_2_ENABLE = 4'b1111;
357 parameter CHAN_BOND_SEQ_2_USE = "FALSE";
358 parameter integer CHAN_BOND_SEQ_LEN = 1;
359 parameter CLK_CORRECT_USE = "TRUE";
360 parameter CLK_COR_KEEP_IDLE = "FALSE";
361 parameter integer CLK_COR_MAX_LAT = 20;
362 parameter integer CLK_COR_MIN_LAT = 18;
363 parameter CLK_COR_PRECEDENCE = "TRUE";
364 parameter integer CLK_COR_REPEAT_WAIT = 0;
365 parameter [9:0] CLK_COR_SEQ_1_1 = 10'b0100011100;
366 parameter [9:0] CLK_COR_SEQ_1_2 = 10'b0000000000;
367 parameter [9:0] CLK_COR_SEQ_1_3 = 10'b0000000000;
368 parameter [9:0] CLK_COR_SEQ_1_4 = 10'b0000000000;
369 parameter [3:0] CLK_COR_SEQ_1_ENABLE = 4'b1111;
370 parameter [9:0] CLK_COR_SEQ_2_1 = 10'b0100000000;
371 parameter [9:0] CLK_COR_SEQ_2_2 = 10'b0100000000;
372 parameter [9:0] CLK_COR_SEQ_2_3 = 10'b0100000000;
373 parameter [9:0] CLK_COR_SEQ_2_4 = 10'b0100000000;
374 parameter [3:0] CLK_COR_SEQ_2_ENABLE = 4'b1111;
375 parameter CLK_COR_SEQ_2_USE = "FALSE";
376 parameter integer CLK_COR_SEQ_LEN = 1;
377 parameter [28:0] CPLL_CFG = 29'h00BC07DC;
378 parameter integer CPLL_FBDIV = 4;
379 parameter integer CPLL_FBDIV_45 = 5;
380 parameter [23:0] CPLL_INIT_CFG = 24'h00001E;
381 parameter [15:0] CPLL_LOCK_CFG = 16'h01E8;
382 parameter integer CPLL_REFCLK_DIV = 1;
383 parameter DEC_MCOMMA_DETECT = "TRUE";
384 parameter DEC_PCOMMA_DETECT = "TRUE";
385 parameter DEC_VALID_COMMA_ONLY = "TRUE";
386 parameter [23:0] DMONITOR_CFG = 24'h000A00;
387 parameter [0:0] ES_CLK_PHASE_SEL = 1'b0;
388 parameter [5:0] ES_CONTROL = 6'b000000;
389 parameter ES_ERRDET_EN = "FALSE";
390 parameter ES_EYE_SCAN_EN = "TRUE";
391 parameter [11:0] ES_HORZ_OFFSET = 12'h000;
392 parameter [9:0] ES_PMA_CFG = 10'b0000000000;
393 parameter [4:0] ES_PRESCALE = 5'b00000;
394 parameter [79:0] ES_QUALIFIER = 80'h00000000000000000000;
395 parameter [79:0] ES_QUAL_MASK = 80'h00000000000000000000;
396 parameter [79:0] ES_SDATA_MASK = 80'h00000000000000000000;
397 parameter [8:0] ES_VERT_OFFSET = 9'b000000000;
398 parameter [3:0] FTS_DESKEW_SEQ_ENABLE = 4'b1111;
399 parameter [3:0] FTS_LANE_DESKEW_CFG = 4'b1111;
400 parameter FTS_LANE_DESKEW_EN = "FALSE";
401 parameter [2:0] GEARBOX_MODE = 3'b000;
402 parameter [0:0] IS_CLKRSVD0_INVERTED = 1'b0;
403 parameter [0:0] IS_CLKRSVD1_INVERTED = 1'b0;
404 parameter [0:0] IS_CPLLLOCKDETCLK_INVERTED = 1'b0;
405 parameter [0:0] IS_DMONITORCLK_INVERTED = 1'b0;
406 parameter [0:0] IS_DRPCLK_INVERTED = 1'b0;
407 parameter [0:0] IS_GTGREFCLK_INVERTED = 1'b0;
408 parameter [0:0] IS_RXUSRCLK2_INVERTED = 1'b0;
409 parameter [0:0] IS_RXUSRCLK_INVERTED = 1'b0;
410 parameter [0:0] IS_SIGVALIDCLK_INVERTED = 1'b0;
411 parameter [0:0] IS_TXPHDLYTSTCLK_INVERTED = 1'b0;
412 parameter [0:0] IS_TXUSRCLK2_INVERTED = 1'b0;
413 parameter [0:0] IS_TXUSRCLK_INVERTED = 1'b0;
414 parameter [0:0] LOOPBACK_CFG = 1'b0;
415 parameter [1:0] OUTREFCLK_SEL_INV = 2'b11;
416 parameter PCS_PCIE_EN = "FALSE";
417 parameter [47:0] PCS_RSVD_ATTR = 48'h000000000000;
418 parameter [11:0] PD_TRANS_TIME_FROM_P2 = 12'h03C;
419 parameter [7:0] PD_TRANS_TIME_NONE_P2 = 8'h19;
420 parameter [7:0] PD_TRANS_TIME_TO_P2 = 8'h64;
421 parameter [31:0] PMA_RSV = 32'b00000000000000000000000010000000;
422 parameter [31:0] PMA_RSV2 = 32'b00011100000000000000000000001010;
423 parameter [1:0] PMA_RSV3 = 2'b00;
424 parameter [14:0] PMA_RSV4 = 15'b000000000001000;
425 parameter [3:0] PMA_RSV5 = 4'b0000;
426 parameter [0:0] RESET_POWERSAVE_DISABLE = 1'b0;
427 parameter [4:0] RXBUFRESET_TIME = 5'b00001;
428 parameter RXBUF_ADDR_MODE = "FULL";
429 parameter [3:0] RXBUF_EIDLE_HI_CNT = 4'b1000;
430 parameter [3:0] RXBUF_EIDLE_LO_CNT = 4'b0000;
431 parameter RXBUF_EN = "TRUE";
432 parameter RXBUF_RESET_ON_CB_CHANGE = "TRUE";
433 parameter RXBUF_RESET_ON_COMMAALIGN = "FALSE";
434 parameter RXBUF_RESET_ON_EIDLE = "FALSE";
435 parameter RXBUF_RESET_ON_RATE_CHANGE = "TRUE";
436 parameter integer RXBUF_THRESH_OVFLW = 61;
437 parameter RXBUF_THRESH_OVRD = "FALSE";
438 parameter integer RXBUF_THRESH_UNDFLW = 4;
439 parameter [4:0] RXCDRFREQRESET_TIME = 5'b00001;
440 parameter [4:0] RXCDRPHRESET_TIME = 5'b00001;
441 parameter [82:0] RXCDR_CFG = 83'h0002007FE2000C208001A;
442 parameter [0:0] RXCDR_FR_RESET_ON_EIDLE = 1'b0;
443 parameter [0:0] RXCDR_HOLD_DURING_EIDLE = 1'b0;
444 parameter [5:0] RXCDR_LOCK_CFG = 6'b001001;
445 parameter [0:0] RXCDR_PH_RESET_ON_EIDLE = 1'b0;
446 parameter [6:0] RXDFELPMRESET_TIME = 7'b0001111;
447 parameter [15:0] RXDLY_CFG = 16'h001F;
448 parameter [8:0] RXDLY_LCFG = 9'h030;
449 parameter [15:0] RXDLY_TAP_CFG = 16'h0000;
450 parameter RXGEARBOX_EN = "FALSE";
451 parameter [4:0] RXISCANRESET_TIME = 5'b00001;
452 parameter [13:0] RXLPM_HF_CFG = 14'b00001000000000;
453 parameter [17:0] RXLPM_LF_CFG = 18'b001001000000000000;
454 parameter [6:0] RXOOB_CFG = 7'b0000110;
455 parameter RXOOB_CLK_CFG = "PMA";
456 parameter [4:0] RXOSCALRESET_TIME = 5'b00011;
457 parameter [4:0] RXOSCALRESET_TIMEOUT = 5'b00000;
458 parameter integer RXOUT_DIV = 2;
459 parameter [4:0] RXPCSRESET_TIME = 5'b00001;
460 parameter [23:0] RXPHDLY_CFG = 24'h084020;
461 parameter [23:0] RXPH_CFG = 24'hC00002;
462 parameter [4:0] RXPH_MONITOR_SEL = 5'b00000;
463 parameter [1:0] RXPI_CFG0 = 2'b00;
464 parameter [1:0] RXPI_CFG1 = 2'b00;
465 parameter [1:0] RXPI_CFG2 = 2'b00;
466 parameter [1:0] RXPI_CFG3 = 2'b00;
467 parameter [0:0] RXPI_CFG4 = 1'b0;
468 parameter [0:0] RXPI_CFG5 = 1'b0;
469 parameter [2:0] RXPI_CFG6 = 3'b100;
470 parameter [4:0] RXPMARESET_TIME = 5'b00011;
471 parameter [0:0] RXPRBS_ERR_LOOPBACK = 1'b0;
472 parameter integer RXSLIDE_AUTO_WAIT = 7;
473 parameter RXSLIDE_MODE = "OFF";
474 parameter [0:0] RXSYNC_MULTILANE = 1'b0;
475 parameter [0:0] RXSYNC_OVRD = 1'b0;
476 parameter [0:0] RXSYNC_SKIP_DA = 1'b0;
477 parameter [23:0] RX_BIAS_CFG = 24'b000011000000000000010000;
478 parameter [5:0] RX_BUFFER_CFG = 6'b000000;
479 parameter integer RX_CLK25_DIV = 7;
480 parameter [0:0] RX_CLKMUX_PD = 1'b1;
481 parameter [1:0] RX_CM_SEL = 2'b11;
482 parameter [3:0] RX_CM_TRIM = 4'b0100;
483 parameter integer RX_DATA_WIDTH = 20;
484 parameter [5:0] RX_DDI_SEL = 6'b000000;
485 parameter [13:0] RX_DEBUG_CFG = 14'b00000000000000;
486 parameter RX_DEFER_RESET_BUF_EN = "TRUE";
487 parameter [3:0] RX_DFELPM_CFG0 = 4'b0110;
488 parameter [0:0] RX_DFELPM_CFG1 = 1'b0;
489 parameter [0:0] RX_DFELPM_KLKH_AGC_STUP_EN = 1'b1;
490 parameter [1:0] RX_DFE_AGC_CFG0 = 2'b00;
491 parameter [2:0] RX_DFE_AGC_CFG1 = 3'b010;
492 parameter [3:0] RX_DFE_AGC_CFG2 = 4'b0000;
493 parameter [0:0] RX_DFE_AGC_OVRDEN = 1'b1;
494 parameter [22:0] RX_DFE_GAIN_CFG = 23'h0020C0;
495 parameter [11:0] RX_DFE_H2_CFG = 12'b000000000000;
496 parameter [11:0] RX_DFE_H3_CFG = 12'b000001000000;
497 parameter [10:0] RX_DFE_H4_CFG = 11'b00011100000;
498 parameter [10:0] RX_DFE_H5_CFG = 11'b00011100000;
499 parameter [10:0] RX_DFE_H6_CFG = 11'b00000100000;
500 parameter [10:0] RX_DFE_H7_CFG = 11'b00000100000;
501 parameter [32:0] RX_DFE_KL_CFG = 33'b000000000000000000000001100010000;
502 parameter [1:0] RX_DFE_KL_LPM_KH_CFG0 = 2'b01;
503 parameter [2:0] RX_DFE_KL_LPM_KH_CFG1 = 3'b010;
504 parameter [3:0] RX_DFE_KL_LPM_KH_CFG2 = 4'b0010;
505 parameter [0:0] RX_DFE_KL_LPM_KH_OVRDEN = 1'b1;
506 parameter [1:0] RX_DFE_KL_LPM_KL_CFG0 = 2'b10;
507 parameter [2:0] RX_DFE_KL_LPM_KL_CFG1 = 3'b010;
508 parameter [3:0] RX_DFE_KL_LPM_KL_CFG2 = 4'b0010;
509 parameter [0:0] RX_DFE_KL_LPM_KL_OVRDEN = 1'b1;
510 parameter [15:0] RX_DFE_LPM_CFG = 16'h0080;
511 parameter [0:0] RX_DFE_LPM_HOLD_DURING_EIDLE = 1'b0;
512 parameter [53:0] RX_DFE_ST_CFG = 54'h00E100000C003F;
513 parameter [16:0] RX_DFE_UT_CFG = 17'b00011100000000000;
514 parameter [16:0] RX_DFE_VP_CFG = 17'b00011101010100011;
515 parameter RX_DISPERR_SEQ_MATCH = "TRUE";
516 parameter integer RX_INT_DATAWIDTH = 0;
517 parameter [12:0] RX_OS_CFG = 13'b0000010000000;
518 parameter integer RX_SIG_VALID_DLY = 10;
519 parameter RX_XCLK_SEL = "RXREC";
520 parameter integer SAS_MAX_COM = 64;
521 parameter integer SAS_MIN_COM = 36;
522 parameter [3:0] SATA_BURST_SEQ_LEN = 4'b1111;
523 parameter [2:0] SATA_BURST_VAL = 3'b100;
524 parameter SATA_CPLL_CFG = "VCO_3000MHZ";
525 parameter [2:0] SATA_EIDLE_VAL = 3'b100;
526 parameter integer SATA_MAX_BURST = 8;
527 parameter integer SATA_MAX_INIT = 21;
528 parameter integer SATA_MAX_WAKE = 7;
529 parameter integer SATA_MIN_BURST = 4;
530 parameter integer SATA_MIN_INIT = 12;
531 parameter integer SATA_MIN_WAKE = 4;
532 parameter SHOW_REALIGN_COMMA = "TRUE";
533 parameter [2:0] SIM_CPLLREFCLK_SEL = 3'b001;
534 parameter SIM_RECEIVER_DETECT_PASS = "TRUE";
535 parameter SIM_RESET_SPEEDUP = "TRUE";
536 parameter SIM_TX_EIDLE_DRIVE_LEVEL = "X";
537 parameter SIM_VERSION = "1.1";
538 parameter [14:0] TERM_RCAL_CFG = 15'b100001000010000;
539 parameter [2:0] TERM_RCAL_OVRD = 3'b000;
540 parameter [7:0] TRANS_TIME_RATE = 8'h0E;
541 parameter [31:0] TST_RSV = 32'h00000000;
542 parameter TXBUF_EN = "TRUE";
543 parameter TXBUF_RESET_ON_RATE_CHANGE = "FALSE";
544 parameter [15:0] TXDLY_CFG = 16'h001F;
545 parameter [8:0] TXDLY_LCFG = 9'h030;
546 parameter [15:0] TXDLY_TAP_CFG = 16'h0000;
547 parameter TXGEARBOX_EN = "FALSE";
548 parameter [0:0] TXOOB_CFG = 1'b0;
549 parameter integer TXOUT_DIV = 2;
550 parameter [4:0] TXPCSRESET_TIME = 5'b00001;
551 parameter [23:0] TXPHDLY_CFG = 24'h084020;
552 parameter [15:0] TXPH_CFG = 16'h0780;
553 parameter [4:0] TXPH_MONITOR_SEL = 5'b00000;
554 parameter [1:0] TXPI_CFG0 = 2'b00;
555 parameter [1:0] TXPI_CFG1 = 2'b00;
556 parameter [1:0] TXPI_CFG2 = 2'b00;
557 parameter [0:0] TXPI_CFG3 = 1'b0;
558 parameter [0:0] TXPI_CFG4 = 1'b0;
559 parameter [2:0] TXPI_CFG5 = 3'b100;
560 parameter [0:0] TXPI_GREY_SEL = 1'b0;
561 parameter [0:0] TXPI_INVSTROBE_SEL = 1'b0;
562 parameter TXPI_PPMCLK_SEL = "TXUSRCLK2";
563 parameter [7:0] TXPI_PPM_CFG = 8'b00000000;
564 parameter [2:0] TXPI_SYNFREQ_PPM = 3'b000;
565 parameter [4:0] TXPMARESET_TIME = 5'b00001;
566 parameter [0:0] TXSYNC_MULTILANE = 1'b0;
567 parameter [0:0] TXSYNC_OVRD = 1'b0;
568 parameter [0:0] TXSYNC_SKIP_DA = 1'b0;
569 parameter integer TX_CLK25_DIV = 7;
570 parameter [0:0] TX_CLKMUX_PD = 1'b1;
571 parameter integer TX_DATA_WIDTH = 20;
572 parameter [5:0] TX_DEEMPH0 = 6'b000000;
573 parameter [5:0] TX_DEEMPH1 = 6'b000000;
574 parameter TX_DRIVE_MODE = "DIRECT";
575 parameter [2:0] TX_EIDLE_ASSERT_DELAY = 3'b110;
576 parameter [2:0] TX_EIDLE_DEASSERT_DELAY = 3'b100;
577 parameter integer TX_INT_DATAWIDTH = 0;
578 parameter TX_LOOPBACK_DRIVE_HIZ = "FALSE";
579 parameter [0:0] TX_MAINCURSOR_SEL = 1'b0;
580 parameter [6:0] TX_MARGIN_FULL_0 = 7'b1001110;
581 parameter [6:0] TX_MARGIN_FULL_1 = 7'b1001001;
582 parameter [6:0] TX_MARGIN_FULL_2 = 7'b1000101;
583 parameter [6:0] TX_MARGIN_FULL_3 = 7'b1000010;
584 parameter [6:0] TX_MARGIN_FULL_4 = 7'b1000000;
585 parameter [6:0] TX_MARGIN_LOW_0 = 7'b1000110;
586 parameter [6:0] TX_MARGIN_LOW_1 = 7'b1000100;
587 parameter [6:0] TX_MARGIN_LOW_2 = 7'b1000010;
588 parameter [6:0] TX_MARGIN_LOW_3 = 7'b1000000;
589 parameter [6:0] TX_MARGIN_LOW_4 = 7'b1000000;
590 parameter [0:0] TX_QPI_STATUS_EN = 1'b0;
591 parameter [13:0] TX_RXDETECT_CFG = 14'h1832;
592 parameter [16:0] TX_RXDETECT_PRECHARGE_TIME = 17'h00000;
593 parameter [2:0] TX_RXDETECT_REF = 3'b100;
594 parameter TX_XCLK_SEL = "TXUSR";
595 parameter [0:0] UCODEER_CLR = 1'b0;
596 parameter [0:0] USE_PCS_CLK_PHASE_SEL = 1'b0;
597 output CPLLFBCLKLOST;
598 output CPLLLOCK;
599 output CPLLREFCLKLOST;
600 output DRPRDY;
601 output EYESCANDATAERROR;
602 output GTHTXN;
603 output GTHTXP;
604 output GTREFCLKMONITOR;
605 output PHYSTATUS;
606 output RSOSINTDONE;
607 output RXBYTEISALIGNED;
608 output RXBYTEREALIGN;
609 output RXCDRLOCK;
610 output RXCHANBONDSEQ;
611 output RXCHANISALIGNED;
612 output RXCHANREALIGN;
613 output RXCOMINITDET;
614 output RXCOMMADET;
615 output RXCOMSASDET;
616 output RXCOMWAKEDET;
617 output RXDFESLIDETAPSTARTED;
618 output RXDFESLIDETAPSTROBEDONE;
619 output RXDFESLIDETAPSTROBESTARTED;
620 output RXDFESTADAPTDONE;
621 output RXDLYSRESETDONE;
622 output RXELECIDLE;
623 output RXOSINTSTARTED;
624 output RXOSINTSTROBEDONE;
625 output RXOSINTSTROBESTARTED;
626 output RXOUTCLK;
627 output RXOUTCLKFABRIC;
628 output RXOUTCLKPCS;
629 output RXPHALIGNDONE;
630 output RXPMARESETDONE;
631 output RXPRBSERR;
632 output RXQPISENN;
633 output RXQPISENP;
634 output RXRATEDONE;
635 output RXRESETDONE;
636 output RXSYNCDONE;
637 output RXSYNCOUT;
638 output RXVALID;
639 output TXCOMFINISH;
640 output TXDLYSRESETDONE;
641 output TXGEARBOXREADY;
642 output TXOUTCLK;
643 output TXOUTCLKFABRIC;
644 output TXOUTCLKPCS;
645 output TXPHALIGNDONE;
646 output TXPHINITDONE;
647 output TXPMARESETDONE;
648 output TXQPISENN;
649 output TXQPISENP;
650 output TXRATEDONE;
651 output TXRESETDONE;
652 output TXSYNCDONE;
653 output TXSYNCOUT;
654 output [14:0] DMONITOROUT;
655 output [15:0] DRPDO;
656 output [15:0] PCSRSVDOUT;
657 output [1:0] RXCLKCORCNT;
658 output [1:0] RXDATAVALID;
659 output [1:0] RXHEADERVALID;
660 output [1:0] RXSTARTOFSEQ;
661 output [1:0] TXBUFSTATUS;
662 output [2:0] RXBUFSTATUS;
663 output [2:0] RXSTATUS;
664 output [4:0] RXCHBONDO;
665 output [4:0] RXPHMONITOR;
666 output [4:0] RXPHSLIPMONITOR;
667 output [5:0] RXHEADER;
668 output [63:0] RXDATA;
669 output [6:0] RXMONITOROUT;
670 output [7:0] RXCHARISCOMMA;
671 output [7:0] RXCHARISK;
672 output [7:0] RXDISPERR;
673 output [7:0] RXNOTINTABLE;
674 input CFGRESET;
675 input CLKRSVD0;
676 input CLKRSVD1;
677 input CPLLLOCKDETCLK;
678 input CPLLLOCKEN;
679 input CPLLPD;
680 input CPLLRESET;
681 input DMONFIFORESET;
682 input DMONITORCLK;
683 input DRPCLK;
684 input DRPEN;
685 input DRPWE;
686 input EYESCANMODE;
687 input EYESCANRESET;
688 input EYESCANTRIGGER;
689 input GTGREFCLK;
690 input GTHRXN;
691 input GTHRXP;
692 input GTNORTHREFCLK0;
693 input GTNORTHREFCLK1;
694 input GTREFCLK0;
695 input GTREFCLK1;
696 input GTRESETSEL;
697 input GTRXRESET;
698 input GTSOUTHREFCLK0;
699 input GTSOUTHREFCLK1;
700 input GTTXRESET;
701 input QPLLCLK;
702 input QPLLREFCLK;
703 input RESETOVRD;
704 input RX8B10BEN;
705 input RXBUFRESET;
706 input RXCDRFREQRESET;
707 input RXCDRHOLD;
708 input RXCDROVRDEN;
709 input RXCDRRESET;
710 input RXCDRRESETRSV;
711 input RXCHBONDEN;
712 input RXCHBONDMASTER;
713 input RXCHBONDSLAVE;
714 input RXCOMMADETEN;
715 input RXDDIEN;
716 input RXDFEAGCHOLD;
717 input RXDFEAGCOVRDEN;
718 input RXDFECM1EN;
719 input RXDFELFHOLD;
720 input RXDFELFOVRDEN;
721 input RXDFELPMRESET;
722 input RXDFESLIDETAPADAPTEN;
723 input RXDFESLIDETAPHOLD;
724 input RXDFESLIDETAPINITOVRDEN;
725 input RXDFESLIDETAPONLYADAPTEN;
726 input RXDFESLIDETAPOVRDEN;
727 input RXDFESLIDETAPSTROBE;
728 input RXDFETAP2HOLD;
729 input RXDFETAP2OVRDEN;
730 input RXDFETAP3HOLD;
731 input RXDFETAP3OVRDEN;
732 input RXDFETAP4HOLD;
733 input RXDFETAP4OVRDEN;
734 input RXDFETAP5HOLD;
735 input RXDFETAP5OVRDEN;
736 input RXDFETAP6HOLD;
737 input RXDFETAP6OVRDEN;
738 input RXDFETAP7HOLD;
739 input RXDFETAP7OVRDEN;
740 input RXDFEUTHOLD;
741 input RXDFEUTOVRDEN;
742 input RXDFEVPHOLD;
743 input RXDFEVPOVRDEN;
744 input RXDFEVSEN;
745 input RXDFEXYDEN;
746 input RXDLYBYPASS;
747 input RXDLYEN;
748 input RXDLYOVRDEN;
749 input RXDLYSRESET;
750 input RXGEARBOXSLIP;
751 input RXLPMEN;
752 input RXLPMHFHOLD;
753 input RXLPMHFOVRDEN;
754 input RXLPMLFHOLD;
755 input RXLPMLFKLOVRDEN;
756 input RXMCOMMAALIGNEN;
757 input RXOOBRESET;
758 input RXOSCALRESET;
759 input RXOSHOLD;
760 input RXOSINTEN;
761 input RXOSINTHOLD;
762 input RXOSINTNTRLEN;
763 input RXOSINTOVRDEN;
764 input RXOSINTSTROBE;
765 input RXOSINTTESTOVRDEN;
766 input RXOSOVRDEN;
767 input RXPCOMMAALIGNEN;
768 input RXPCSRESET;
769 input RXPHALIGN;
770 input RXPHALIGNEN;
771 input RXPHDLYPD;
772 input RXPHDLYRESET;
773 input RXPHOVRDEN;
774 input RXPMARESET;
775 input RXPOLARITY;
776 input RXPRBSCNTRESET;
777 input RXQPIEN;
778 input RXRATEMODE;
779 input RXSLIDE;
780 input RXSYNCALLIN;
781 input RXSYNCIN;
782 input RXSYNCMODE;
783 input RXUSERRDY;
784 input RXUSRCLK2;
785 input RXUSRCLK;
786 input SETERRSTATUS;
787 input SIGVALIDCLK;
788 input TX8B10BEN;
789 input TXCOMINIT;
790 input TXCOMSAS;
791 input TXCOMWAKE;
792 input TXDEEMPH;
793 input TXDETECTRX;
794 input TXDIFFPD;
795 input TXDLYBYPASS;
796 input TXDLYEN;
797 input TXDLYHOLD;
798 input TXDLYOVRDEN;
799 input TXDLYSRESET;
800 input TXDLYUPDOWN;
801 input TXELECIDLE;
802 input TXINHIBIT;
803 input TXPCSRESET;
804 input TXPDELECIDLEMODE;
805 input TXPHALIGN;
806 input TXPHALIGNEN;
807 input TXPHDLYPD;
808 input TXPHDLYRESET;
809 input TXPHDLYTSTCLK;
810 input TXPHINIT;
811 input TXPHOVRDEN;
812 input TXPIPPMEN;
813 input TXPIPPMOVRDEN;
814 input TXPIPPMPD;
815 input TXPIPPMSEL;
816 input TXPISOPD;
817 input TXPMARESET;
818 input TXPOLARITY;
819 input TXPOSTCURSORINV;
820 input TXPRBSFORCEERR;
821 input TXPRECURSORINV;
822 input TXQPIBIASEN;
823 input TXQPISTRONGPDOWN;
824 input TXQPIWEAKPUP;
825 input TXRATEMODE;
826 input TXSTARTSEQ;
827 input TXSWING;
828 input TXSYNCALLIN;
829 input TXSYNCIN;
830 input TXSYNCMODE;
831 input TXUSERRDY;
832 input TXUSRCLK2;
833 input TXUSRCLK;
834 input [13:0] RXADAPTSELTEST;
835 input [15:0] DRPDI;
836 input [15:0] GTRSVD;
837 input [15:0] PCSRSVDIN;
838 input [19:0] TSTIN;
839 input [1:0] RXELECIDLEMODE;
840 input [1:0] RXMONITORSEL;
841 input [1:0] RXPD;
842 input [1:0] RXSYSCLKSEL;
843 input [1:0] TXPD;
844 input [1:0] TXSYSCLKSEL;
845 input [2:0] CPLLREFCLKSEL;
846 input [2:0] LOOPBACK;
847 input [2:0] RXCHBONDLEVEL;
848 input [2:0] RXOUTCLKSEL;
849 input [2:0] RXPRBSSEL;
850 input [2:0] RXRATE;
851 input [2:0] TXBUFDIFFCTRL;
852 input [2:0] TXHEADER;
853 input [2:0] TXMARGIN;
854 input [2:0] TXOUTCLKSEL;
855 input [2:0] TXPRBSSEL;
856 input [2:0] TXRATE;
857 input [3:0] RXOSINTCFG;
858 input [3:0] RXOSINTID0;
859 input [3:0] TXDIFFCTRL;
860 input [4:0] PCSRSVDIN2;
861 input [4:0] PMARSVDIN;
862 input [4:0] RXCHBONDI;
863 input [4:0] RXDFEAGCTRL;
864 input [4:0] RXDFESLIDETAP;
865 input [4:0] TXPIPPMSTEPSIZE;
866 input [4:0] TXPOSTCURSOR;
867 input [4:0] TXPRECURSOR;
868 input [5:0] RXDFESLIDETAPID;
869 input [63:0] TXDATA;
870 input [6:0] TXMAINCURSOR;
871 input [6:0] TXSEQUENCE;
872 input [7:0] TX8B10BBYPASS;
873 input [7:0] TXCHARDISPMODE;
874 input [7:0] TXCHARDISPVAL;
875 input [7:0] TXCHARISK;
876 input [8:0] DRPADDR;
877 endmodule
878
879 module GTHE2_COMMON (...);
880 parameter [63:0] BIAS_CFG = 64'h0000040000001000;
881 parameter [31:0] COMMON_CFG = 32'h0000001C;
882 parameter [0:0] IS_DRPCLK_INVERTED = 1'b0;
883 parameter [0:0] IS_GTGREFCLK_INVERTED = 1'b0;
884 parameter [0:0] IS_QPLLLOCKDETCLK_INVERTED = 1'b0;
885 parameter [26:0] QPLL_CFG = 27'h0480181;
886 parameter [3:0] QPLL_CLKOUT_CFG = 4'b0000;
887 parameter [5:0] QPLL_COARSE_FREQ_OVRD = 6'b010000;
888 parameter [0:0] QPLL_COARSE_FREQ_OVRD_EN = 1'b0;
889 parameter [9:0] QPLL_CP = 10'b0000011111;
890 parameter [0:0] QPLL_CP_MONITOR_EN = 1'b0;
891 parameter [0:0] QPLL_DMONITOR_SEL = 1'b0;
892 parameter [9:0] QPLL_FBDIV = 10'b0000000000;
893 parameter [0:0] QPLL_FBDIV_MONITOR_EN = 1'b0;
894 parameter [0:0] QPLL_FBDIV_RATIO = 1'b0;
895 parameter [23:0] QPLL_INIT_CFG = 24'h000006;
896 parameter [15:0] QPLL_LOCK_CFG = 16'h01E8;
897 parameter [3:0] QPLL_LPF = 4'b1111;
898 parameter integer QPLL_REFCLK_DIV = 2;
899 parameter [0:0] QPLL_RP_COMP = 1'b0;
900 parameter [1:0] QPLL_VTRL_RESET = 2'b00;
901 parameter [1:0] RCAL_CFG = 2'b00;
902 parameter [15:0] RSVD_ATTR0 = 16'h0000;
903 parameter [15:0] RSVD_ATTR1 = 16'h0000;
904 parameter [2:0] SIM_QPLLREFCLK_SEL = 3'b001;
905 parameter SIM_RESET_SPEEDUP = "TRUE";
906 parameter SIM_VERSION = "1.1";
907 output DRPRDY;
908 output QPLLFBCLKLOST;
909 output QPLLLOCK;
910 output QPLLOUTCLK;
911 output QPLLOUTREFCLK;
912 output QPLLREFCLKLOST;
913 output REFCLKOUTMONITOR;
914 output [15:0] DRPDO;
915 output [15:0] PMARSVDOUT;
916 output [7:0] QPLLDMONITOR;
917 input BGBYPASSB;
918 input BGMONITORENB;
919 input BGPDB;
920 input BGRCALOVRDENB;
921 input DRPCLK;
922 input DRPEN;
923 input DRPWE;
924 input GTGREFCLK;
925 input GTNORTHREFCLK0;
926 input GTNORTHREFCLK1;
927 input GTREFCLK0;
928 input GTREFCLK1;
929 input GTSOUTHREFCLK0;
930 input GTSOUTHREFCLK1;
931 input QPLLLOCKDETCLK;
932 input QPLLLOCKEN;
933 input QPLLOUTRESET;
934 input QPLLPD;
935 input QPLLRESET;
936 input RCALENB;
937 input [15:0] DRPDI;
938 input [15:0] QPLLRSVD1;
939 input [2:0] QPLLREFCLKSEL;
940 input [4:0] BGRCALOVRD;
941 input [4:0] QPLLRSVD2;
942 input [7:0] DRPADDR;
943 input [7:0] PMARSVD;
944 endmodule
945
946 module GTPE2_CHANNEL (...);
947 parameter [0:0] ACJTAG_DEBUG_MODE = 1'b0;
948 parameter [0:0] ACJTAG_MODE = 1'b0;
949 parameter [0:0] ACJTAG_RESET = 1'b0;
950 parameter [19:0] ADAPT_CFG0 = 20'b00000000000000000000;
951 parameter ALIGN_COMMA_DOUBLE = "FALSE";
952 parameter [9:0] ALIGN_COMMA_ENABLE = 10'b0001111111;
953 parameter integer ALIGN_COMMA_WORD = 1;
954 parameter ALIGN_MCOMMA_DET = "TRUE";
955 parameter [9:0] ALIGN_MCOMMA_VALUE = 10'b1010000011;
956 parameter ALIGN_PCOMMA_DET = "TRUE";
957 parameter [9:0] ALIGN_PCOMMA_VALUE = 10'b0101111100;
958 parameter CBCC_DATA_SOURCE_SEL = "DECODED";
959 parameter [42:0] CFOK_CFG = 43'b1001001000000000000000001000000111010000000;
960 parameter [6:0] CFOK_CFG2 = 7'b0100000;
961 parameter [6:0] CFOK_CFG3 = 7'b0100000;
962 parameter [0:0] CFOK_CFG4 = 1'b0;
963 parameter [1:0] CFOK_CFG5 = 2'b00;
964 parameter [3:0] CFOK_CFG6 = 4'b0000;
965 parameter CHAN_BOND_KEEP_ALIGN = "FALSE";
966 parameter integer CHAN_BOND_MAX_SKEW = 7;
967 parameter [9:0] CHAN_BOND_SEQ_1_1 = 10'b0101111100;
968 parameter [9:0] CHAN_BOND_SEQ_1_2 = 10'b0000000000;
969 parameter [9:0] CHAN_BOND_SEQ_1_3 = 10'b0000000000;
970 parameter [9:0] CHAN_BOND_SEQ_1_4 = 10'b0000000000;
971 parameter [3:0] CHAN_BOND_SEQ_1_ENABLE = 4'b1111;
972 parameter [9:0] CHAN_BOND_SEQ_2_1 = 10'b0100000000;
973 parameter [9:0] CHAN_BOND_SEQ_2_2 = 10'b0100000000;
974 parameter [9:0] CHAN_BOND_SEQ_2_3 = 10'b0100000000;
975 parameter [9:0] CHAN_BOND_SEQ_2_4 = 10'b0100000000;
976 parameter [3:0] CHAN_BOND_SEQ_2_ENABLE = 4'b1111;
977 parameter CHAN_BOND_SEQ_2_USE = "FALSE";
978 parameter integer CHAN_BOND_SEQ_LEN = 1;
979 parameter [0:0] CLK_COMMON_SWING = 1'b0;
980 parameter CLK_CORRECT_USE = "TRUE";
981 parameter CLK_COR_KEEP_IDLE = "FALSE";
982 parameter integer CLK_COR_MAX_LAT = 20;
983 parameter integer CLK_COR_MIN_LAT = 18;
984 parameter CLK_COR_PRECEDENCE = "TRUE";
985 parameter integer CLK_COR_REPEAT_WAIT = 0;
986 parameter [9:0] CLK_COR_SEQ_1_1 = 10'b0100011100;
987 parameter [9:0] CLK_COR_SEQ_1_2 = 10'b0000000000;
988 parameter [9:0] CLK_COR_SEQ_1_3 = 10'b0000000000;
989 parameter [9:0] CLK_COR_SEQ_1_4 = 10'b0000000000;
990 parameter [3:0] CLK_COR_SEQ_1_ENABLE = 4'b1111;
991 parameter [9:0] CLK_COR_SEQ_2_1 = 10'b0100000000;
992 parameter [9:0] CLK_COR_SEQ_2_2 = 10'b0100000000;
993 parameter [9:0] CLK_COR_SEQ_2_3 = 10'b0100000000;
994 parameter [9:0] CLK_COR_SEQ_2_4 = 10'b0100000000;
995 parameter [3:0] CLK_COR_SEQ_2_ENABLE = 4'b1111;
996 parameter CLK_COR_SEQ_2_USE = "FALSE";
997 parameter integer CLK_COR_SEQ_LEN = 1;
998 parameter DEC_MCOMMA_DETECT = "TRUE";
999 parameter DEC_PCOMMA_DETECT = "TRUE";
1000 parameter DEC_VALID_COMMA_ONLY = "TRUE";
1001 parameter [23:0] DMONITOR_CFG = 24'h000A00;
1002 parameter [0:0] ES_CLK_PHASE_SEL = 1'b0;
1003 parameter [5:0] ES_CONTROL = 6'b000000;
1004 parameter ES_ERRDET_EN = "FALSE";
1005 parameter ES_EYE_SCAN_EN = "FALSE";
1006 parameter [11:0] ES_HORZ_OFFSET = 12'h010;
1007 parameter [9:0] ES_PMA_CFG = 10'b0000000000;
1008 parameter [4:0] ES_PRESCALE = 5'b00000;
1009 parameter [79:0] ES_QUALIFIER = 80'h00000000000000000000;
1010 parameter [79:0] ES_QUAL_MASK = 80'h00000000000000000000;
1011 parameter [79:0] ES_SDATA_MASK = 80'h00000000000000000000;
1012 parameter [8:0] ES_VERT_OFFSET = 9'b000000000;
1013 parameter [3:0] FTS_DESKEW_SEQ_ENABLE = 4'b1111;
1014 parameter [3:0] FTS_LANE_DESKEW_CFG = 4'b1111;
1015 parameter FTS_LANE_DESKEW_EN = "FALSE";
1016 parameter [2:0] GEARBOX_MODE = 3'b000;
1017 parameter [0:0] IS_CLKRSVD0_INVERTED = 1'b0;
1018 parameter [0:0] IS_CLKRSVD1_INVERTED = 1'b0;
1019 parameter [0:0] IS_DMONITORCLK_INVERTED = 1'b0;
1020 parameter [0:0] IS_DRPCLK_INVERTED = 1'b0;
1021 parameter [0:0] IS_RXUSRCLK2_INVERTED = 1'b0;
1022 parameter [0:0] IS_RXUSRCLK_INVERTED = 1'b0;
1023 parameter [0:0] IS_SIGVALIDCLK_INVERTED = 1'b0;
1024 parameter [0:0] IS_TXPHDLYTSTCLK_INVERTED = 1'b0;
1025 parameter [0:0] IS_TXUSRCLK2_INVERTED = 1'b0;
1026 parameter [0:0] IS_TXUSRCLK_INVERTED = 1'b0;
1027 parameter [0:0] LOOPBACK_CFG = 1'b0;
1028 parameter [1:0] OUTREFCLK_SEL_INV = 2'b11;
1029 parameter PCS_PCIE_EN = "FALSE";
1030 parameter [47:0] PCS_RSVD_ATTR = 48'h000000000000;
1031 parameter [11:0] PD_TRANS_TIME_FROM_P2 = 12'h03C;
1032 parameter [7:0] PD_TRANS_TIME_NONE_P2 = 8'h19;
1033 parameter [7:0] PD_TRANS_TIME_TO_P2 = 8'h64;
1034 parameter [0:0] PMA_LOOPBACK_CFG = 1'b0;
1035 parameter [31:0] PMA_RSV = 32'h00000333;
1036 parameter [31:0] PMA_RSV2 = 32'h00002050;
1037 parameter [1:0] PMA_RSV3 = 2'b00;
1038 parameter [3:0] PMA_RSV4 = 4'b0000;
1039 parameter [0:0] PMA_RSV5 = 1'b0;
1040 parameter [0:0] PMA_RSV6 = 1'b0;
1041 parameter [0:0] PMA_RSV7 = 1'b0;
1042 parameter [4:0] RXBUFRESET_TIME = 5'b00001;
1043 parameter RXBUF_ADDR_MODE = "FULL";
1044 parameter [3:0] RXBUF_EIDLE_HI_CNT = 4'b1000;
1045 parameter [3:0] RXBUF_EIDLE_LO_CNT = 4'b0000;
1046 parameter RXBUF_EN = "TRUE";
1047 parameter RXBUF_RESET_ON_CB_CHANGE = "TRUE";
1048 parameter RXBUF_RESET_ON_COMMAALIGN = "FALSE";
1049 parameter RXBUF_RESET_ON_EIDLE = "FALSE";
1050 parameter RXBUF_RESET_ON_RATE_CHANGE = "TRUE";
1051 parameter integer RXBUF_THRESH_OVFLW = 61;
1052 parameter RXBUF_THRESH_OVRD = "FALSE";
1053 parameter integer RXBUF_THRESH_UNDFLW = 4;
1054 parameter [4:0] RXCDRFREQRESET_TIME = 5'b00001;
1055 parameter [4:0] RXCDRPHRESET_TIME = 5'b00001;
1056 parameter [82:0] RXCDR_CFG = 83'h0000107FE406001041010;
1057 parameter [0:0] RXCDR_FR_RESET_ON_EIDLE = 1'b0;
1058 parameter [0:0] RXCDR_HOLD_DURING_EIDLE = 1'b0;
1059 parameter [5:0] RXCDR_LOCK_CFG = 6'b001001;
1060 parameter [0:0] RXCDR_PH_RESET_ON_EIDLE = 1'b0;
1061 parameter [15:0] RXDLY_CFG = 16'h0010;
1062 parameter [8:0] RXDLY_LCFG = 9'h020;
1063 parameter [15:0] RXDLY_TAP_CFG = 16'h0000;
1064 parameter RXGEARBOX_EN = "FALSE";
1065 parameter [4:0] RXISCANRESET_TIME = 5'b00001;
1066 parameter [6:0] RXLPMRESET_TIME = 7'b0001111;
1067 parameter [0:0] RXLPM_BIAS_STARTUP_DISABLE = 1'b0;
1068 parameter [3:0] RXLPM_CFG = 4'b0110;
1069 parameter [0:0] RXLPM_CFG1 = 1'b0;
1070 parameter [0:0] RXLPM_CM_CFG = 1'b0;
1071 parameter [8:0] RXLPM_GC_CFG = 9'b111100010;
1072 parameter [2:0] RXLPM_GC_CFG2 = 3'b001;
1073 parameter [13:0] RXLPM_HF_CFG = 14'b00001111110000;
1074 parameter [4:0] RXLPM_HF_CFG2 = 5'b01010;
1075 parameter [3:0] RXLPM_HF_CFG3 = 4'b0000;
1076 parameter [0:0] RXLPM_HOLD_DURING_EIDLE = 1'b0;
1077 parameter [0:0] RXLPM_INCM_CFG = 1'b0;
1078 parameter [0:0] RXLPM_IPCM_CFG = 1'b0;
1079 parameter [17:0] RXLPM_LF_CFG = 18'b000000001111110000;
1080 parameter [4:0] RXLPM_LF_CFG2 = 5'b01010;
1081 parameter [2:0] RXLPM_OSINT_CFG = 3'b100;
1082 parameter [6:0] RXOOB_CFG = 7'b0000110;
1083 parameter RXOOB_CLK_CFG = "PMA";
1084 parameter [4:0] RXOSCALRESET_TIME = 5'b00011;
1085 parameter [4:0] RXOSCALRESET_TIMEOUT = 5'b00000;
1086 parameter integer RXOUT_DIV = 2;
1087 parameter [4:0] RXPCSRESET_TIME = 5'b00001;
1088 parameter [23:0] RXPHDLY_CFG = 24'h084000;
1089 parameter [23:0] RXPH_CFG = 24'hC00002;
1090 parameter [4:0] RXPH_MONITOR_SEL = 5'b00000;
1091 parameter [2:0] RXPI_CFG0 = 3'b000;
1092 parameter [0:0] RXPI_CFG1 = 1'b0;
1093 parameter [0:0] RXPI_CFG2 = 1'b0;
1094 parameter [4:0] RXPMARESET_TIME = 5'b00011;
1095 parameter [0:0] RXPRBS_ERR_LOOPBACK = 1'b0;
1096 parameter integer RXSLIDE_AUTO_WAIT = 7;
1097 parameter RXSLIDE_MODE = "OFF";
1098 parameter [0:0] RXSYNC_MULTILANE = 1'b0;
1099 parameter [0:0] RXSYNC_OVRD = 1'b0;
1100 parameter [0:0] RXSYNC_SKIP_DA = 1'b0;
1101 parameter [15:0] RX_BIAS_CFG = 16'b0000111100110011;
1102 parameter [5:0] RX_BUFFER_CFG = 6'b000000;
1103 parameter integer RX_CLK25_DIV = 7;
1104 parameter [0:0] RX_CLKMUX_EN = 1'b1;
1105 parameter [1:0] RX_CM_SEL = 2'b11;
1106 parameter [3:0] RX_CM_TRIM = 4'b0100;
1107 parameter integer RX_DATA_WIDTH = 20;
1108 parameter [5:0] RX_DDI_SEL = 6'b000000;
1109 parameter [13:0] RX_DEBUG_CFG = 14'b00000000000000;
1110 parameter RX_DEFER_RESET_BUF_EN = "TRUE";
1111 parameter RX_DISPERR_SEQ_MATCH = "TRUE";
1112 parameter [12:0] RX_OS_CFG = 13'b0001111110000;
1113 parameter integer RX_SIG_VALID_DLY = 10;
1114 parameter RX_XCLK_SEL = "RXREC";
1115 parameter integer SAS_MAX_COM = 64;
1116 parameter integer SAS_MIN_COM = 36;
1117 parameter [3:0] SATA_BURST_SEQ_LEN = 4'b1111;
1118 parameter [2:0] SATA_BURST_VAL = 3'b100;
1119 parameter [2:0] SATA_EIDLE_VAL = 3'b100;
1120 parameter integer SATA_MAX_BURST = 8;
1121 parameter integer SATA_MAX_INIT = 21;
1122 parameter integer SATA_MAX_WAKE = 7;
1123 parameter integer SATA_MIN_BURST = 4;
1124 parameter integer SATA_MIN_INIT = 12;
1125 parameter integer SATA_MIN_WAKE = 4;
1126 parameter SATA_PLL_CFG = "VCO_3000MHZ";
1127 parameter SHOW_REALIGN_COMMA = "TRUE";
1128 parameter SIM_RECEIVER_DETECT_PASS = "TRUE";
1129 parameter SIM_RESET_SPEEDUP = "TRUE";
1130 parameter SIM_TX_EIDLE_DRIVE_LEVEL = "X";
1131 parameter SIM_VERSION = "1.0";
1132 parameter [14:0] TERM_RCAL_CFG = 15'b100001000010000;
1133 parameter [2:0] TERM_RCAL_OVRD = 3'b000;
1134 parameter [7:0] TRANS_TIME_RATE = 8'h0E;
1135 parameter [31:0] TST_RSV = 32'h00000000;
1136 parameter TXBUF_EN = "TRUE";
1137 parameter TXBUF_RESET_ON_RATE_CHANGE = "FALSE";
1138 parameter [15:0] TXDLY_CFG = 16'h0010;
1139 parameter [8:0] TXDLY_LCFG = 9'h020;
1140 parameter [15:0] TXDLY_TAP_CFG = 16'h0000;
1141 parameter TXGEARBOX_EN = "FALSE";
1142 parameter [0:0] TXOOB_CFG = 1'b0;
1143 parameter integer TXOUT_DIV = 2;
1144 parameter [4:0] TXPCSRESET_TIME = 5'b00001;
1145 parameter [23:0] TXPHDLY_CFG = 24'h084000;
1146 parameter [15:0] TXPH_CFG = 16'h0400;
1147 parameter [4:0] TXPH_MONITOR_SEL = 5'b00000;
1148 parameter [1:0] TXPI_CFG0 = 2'b00;
1149 parameter [1:0] TXPI_CFG1 = 2'b00;
1150 parameter [1:0] TXPI_CFG2 = 2'b00;
1151 parameter [0:0] TXPI_CFG3 = 1'b0;
1152 parameter [0:0] TXPI_CFG4 = 1'b0;
1153 parameter [2:0] TXPI_CFG5 = 3'b000;
1154 parameter [0:0] TXPI_GREY_SEL = 1'b0;
1155 parameter [0:0] TXPI_INVSTROBE_SEL = 1'b0;
1156 parameter TXPI_PPMCLK_SEL = "TXUSRCLK2";
1157 parameter [7:0] TXPI_PPM_CFG = 8'b00000000;
1158 parameter [2:0] TXPI_SYNFREQ_PPM = 3'b000;
1159 parameter [4:0] TXPMARESET_TIME = 5'b00001;
1160 parameter [0:0] TXSYNC_MULTILANE = 1'b0;
1161 parameter [0:0] TXSYNC_OVRD = 1'b0;
1162 parameter [0:0] TXSYNC_SKIP_DA = 1'b0;
1163 parameter integer TX_CLK25_DIV = 7;
1164 parameter [0:0] TX_CLKMUX_EN = 1'b1;
1165 parameter integer TX_DATA_WIDTH = 20;
1166 parameter [5:0] TX_DEEMPH0 = 6'b000000;
1167 parameter [5:0] TX_DEEMPH1 = 6'b000000;
1168 parameter TX_DRIVE_MODE = "DIRECT";
1169 parameter [2:0] TX_EIDLE_ASSERT_DELAY = 3'b110;
1170 parameter [2:0] TX_EIDLE_DEASSERT_DELAY = 3'b100;
1171 parameter TX_LOOPBACK_DRIVE_HIZ = "FALSE";
1172 parameter [0:0] TX_MAINCURSOR_SEL = 1'b0;
1173 parameter [6:0] TX_MARGIN_FULL_0 = 7'b1001110;
1174 parameter [6:0] TX_MARGIN_FULL_1 = 7'b1001001;
1175 parameter [6:0] TX_MARGIN_FULL_2 = 7'b1000101;
1176 parameter [6:0] TX_MARGIN_FULL_3 = 7'b1000010;
1177 parameter [6:0] TX_MARGIN_FULL_4 = 7'b1000000;
1178 parameter [6:0] TX_MARGIN_LOW_0 = 7'b1000110;
1179 parameter [6:0] TX_MARGIN_LOW_1 = 7'b1000100;
1180 parameter [6:0] TX_MARGIN_LOW_2 = 7'b1000010;
1181 parameter [6:0] TX_MARGIN_LOW_3 = 7'b1000000;
1182 parameter [6:0] TX_MARGIN_LOW_4 = 7'b1000000;
1183 parameter [0:0] TX_PREDRIVER_MODE = 1'b0;
1184 parameter [13:0] TX_RXDETECT_CFG = 14'h1832;
1185 parameter [2:0] TX_RXDETECT_REF = 3'b100;
1186 parameter TX_XCLK_SEL = "TXUSR";
1187 parameter [0:0] UCODEER_CLR = 1'b0;
1188 parameter [0:0] USE_PCS_CLK_PHASE_SEL = 1'b0;
1189 output DRPRDY;
1190 output EYESCANDATAERROR;
1191 output GTPTXN;
1192 output GTPTXP;
1193 output PHYSTATUS;
1194 output PMARSVDOUT0;
1195 output PMARSVDOUT1;
1196 output RXBYTEISALIGNED;
1197 output RXBYTEREALIGN;
1198 output RXCDRLOCK;
1199 output RXCHANBONDSEQ;
1200 output RXCHANISALIGNED;
1201 output RXCHANREALIGN;
1202 output RXCOMINITDET;
1203 output RXCOMMADET;
1204 output RXCOMSASDET;
1205 output RXCOMWAKEDET;
1206 output RXDLYSRESETDONE;
1207 output RXELECIDLE;
1208 output RXHEADERVALID;
1209 output RXOSINTDONE;
1210 output RXOSINTSTARTED;
1211 output RXOSINTSTROBEDONE;
1212 output RXOSINTSTROBESTARTED;
1213 output RXOUTCLK;
1214 output RXOUTCLKFABRIC;
1215 output RXOUTCLKPCS;
1216 output RXPHALIGNDONE;
1217 output RXPMARESETDONE;
1218 output RXPRBSERR;
1219 output RXRATEDONE;
1220 output RXRESETDONE;
1221 output RXSYNCDONE;
1222 output RXSYNCOUT;
1223 output RXVALID;
1224 output TXCOMFINISH;
1225 output TXDLYSRESETDONE;
1226 output TXGEARBOXREADY;
1227 output TXOUTCLK;
1228 output TXOUTCLKFABRIC;
1229 output TXOUTCLKPCS;
1230 output TXPHALIGNDONE;
1231 output TXPHINITDONE;
1232 output TXPMARESETDONE;
1233 output TXRATEDONE;
1234 output TXRESETDONE;
1235 output TXSYNCDONE;
1236 output TXSYNCOUT;
1237 output [14:0] DMONITOROUT;
1238 output [15:0] DRPDO;
1239 output [15:0] PCSRSVDOUT;
1240 output [1:0] RXCLKCORCNT;
1241 output [1:0] RXDATAVALID;
1242 output [1:0] RXSTARTOFSEQ;
1243 output [1:0] TXBUFSTATUS;
1244 output [2:0] RXBUFSTATUS;
1245 output [2:0] RXHEADER;
1246 output [2:0] RXSTATUS;
1247 output [31:0] RXDATA;
1248 output [3:0] RXCHARISCOMMA;
1249 output [3:0] RXCHARISK;
1250 output [3:0] RXCHBONDO;
1251 output [3:0] RXDISPERR;
1252 output [3:0] RXNOTINTABLE;
1253 output [4:0] RXPHMONITOR;
1254 output [4:0] RXPHSLIPMONITOR;
1255 input CFGRESET;
1256 input CLKRSVD0;
1257 input CLKRSVD1;
1258 input DMONFIFORESET;
1259 input DMONITORCLK;
1260 input DRPCLK;
1261 input DRPEN;
1262 input DRPWE;
1263 input EYESCANMODE;
1264 input EYESCANRESET;
1265 input EYESCANTRIGGER;
1266 input GTPRXN;
1267 input GTPRXP;
1268 input GTRESETSEL;
1269 input GTRXRESET;
1270 input GTTXRESET;
1271 input PLL0CLK;
1272 input PLL0REFCLK;
1273 input PLL1CLK;
1274 input PLL1REFCLK;
1275 input PMARSVDIN0;
1276 input PMARSVDIN1;
1277 input PMARSVDIN2;
1278 input PMARSVDIN3;
1279 input PMARSVDIN4;
1280 input RESETOVRD;
1281 input RX8B10BEN;
1282 input RXBUFRESET;
1283 input RXCDRFREQRESET;
1284 input RXCDRHOLD;
1285 input RXCDROVRDEN;
1286 input RXCDRRESET;
1287 input RXCDRRESETRSV;
1288 input RXCHBONDEN;
1289 input RXCHBONDMASTER;
1290 input RXCHBONDSLAVE;
1291 input RXCOMMADETEN;
1292 input RXDDIEN;
1293 input RXDFEXYDEN;
1294 input RXDLYBYPASS;
1295 input RXDLYEN;
1296 input RXDLYOVRDEN;
1297 input RXDLYSRESET;
1298 input RXGEARBOXSLIP;
1299 input RXLPMHFHOLD;
1300 input RXLPMHFOVRDEN;
1301 input RXLPMLFHOLD;
1302 input RXLPMLFOVRDEN;
1303 input RXLPMOSINTNTRLEN;
1304 input RXLPMRESET;
1305 input RXMCOMMAALIGNEN;
1306 input RXOOBRESET;
1307 input RXOSCALRESET;
1308 input RXOSHOLD;
1309 input RXOSINTEN;
1310 input RXOSINTHOLD;
1311 input RXOSINTNTRLEN;
1312 input RXOSINTOVRDEN;
1313 input RXOSINTPD;
1314 input RXOSINTSTROBE;
1315 input RXOSINTTESTOVRDEN;
1316 input RXOSOVRDEN;
1317 input RXPCOMMAALIGNEN;
1318 input RXPCSRESET;
1319 input RXPHALIGN;
1320 input RXPHALIGNEN;
1321 input RXPHDLYPD;
1322 input RXPHDLYRESET;
1323 input RXPHOVRDEN;
1324 input RXPMARESET;
1325 input RXPOLARITY;
1326 input RXPRBSCNTRESET;
1327 input RXRATEMODE;
1328 input RXSLIDE;
1329 input RXSYNCALLIN;
1330 input RXSYNCIN;
1331 input RXSYNCMODE;
1332 input RXUSERRDY;
1333 input RXUSRCLK2;
1334 input RXUSRCLK;
1335 input SETERRSTATUS;
1336 input SIGVALIDCLK;
1337 input TX8B10BEN;
1338 input TXCOMINIT;
1339 input TXCOMSAS;
1340 input TXCOMWAKE;
1341 input TXDEEMPH;
1342 input TXDETECTRX;
1343 input TXDIFFPD;
1344 input TXDLYBYPASS;
1345 input TXDLYEN;
1346 input TXDLYHOLD;
1347 input TXDLYOVRDEN;
1348 input TXDLYSRESET;
1349 input TXDLYUPDOWN;
1350 input TXELECIDLE;
1351 input TXINHIBIT;
1352 input TXPCSRESET;
1353 input TXPDELECIDLEMODE;
1354 input TXPHALIGN;
1355 input TXPHALIGNEN;
1356 input TXPHDLYPD;
1357 input TXPHDLYRESET;
1358 input TXPHDLYTSTCLK;
1359 input TXPHINIT;
1360 input TXPHOVRDEN;
1361 input TXPIPPMEN;
1362 input TXPIPPMOVRDEN;
1363 input TXPIPPMPD;
1364 input TXPIPPMSEL;
1365 input TXPISOPD;
1366 input TXPMARESET;
1367 input TXPOLARITY;
1368 input TXPOSTCURSORINV;
1369 input TXPRBSFORCEERR;
1370 input TXPRECURSORINV;
1371 input TXRATEMODE;
1372 input TXSTARTSEQ;
1373 input TXSWING;
1374 input TXSYNCALLIN;
1375 input TXSYNCIN;
1376 input TXSYNCMODE;
1377 input TXUSERRDY;
1378 input TXUSRCLK2;
1379 input TXUSRCLK;
1380 input [13:0] RXADAPTSELTEST;
1381 input [15:0] DRPDI;
1382 input [15:0] GTRSVD;
1383 input [15:0] PCSRSVDIN;
1384 input [19:0] TSTIN;
1385 input [1:0] RXELECIDLEMODE;
1386 input [1:0] RXPD;
1387 input [1:0] RXSYSCLKSEL;
1388 input [1:0] TXPD;
1389 input [1:0] TXSYSCLKSEL;
1390 input [2:0] LOOPBACK;
1391 input [2:0] RXCHBONDLEVEL;
1392 input [2:0] RXOUTCLKSEL;
1393 input [2:0] RXPRBSSEL;
1394 input [2:0] RXRATE;
1395 input [2:0] TXBUFDIFFCTRL;
1396 input [2:0] TXHEADER;
1397 input [2:0] TXMARGIN;
1398 input [2:0] TXOUTCLKSEL;
1399 input [2:0] TXPRBSSEL;
1400 input [2:0] TXRATE;
1401 input [31:0] TXDATA;
1402 input [3:0] RXCHBONDI;
1403 input [3:0] RXOSINTCFG;
1404 input [3:0] RXOSINTID0;
1405 input [3:0] TX8B10BBYPASS;
1406 input [3:0] TXCHARDISPMODE;
1407 input [3:0] TXCHARDISPVAL;
1408 input [3:0] TXCHARISK;
1409 input [3:0] TXDIFFCTRL;
1410 input [4:0] TXPIPPMSTEPSIZE;
1411 input [4:0] TXPOSTCURSOR;
1412 input [4:0] TXPRECURSOR;
1413 input [6:0] TXMAINCURSOR;
1414 input [6:0] TXSEQUENCE;
1415 input [8:0] DRPADDR;
1416 endmodule
1417
1418 module GTPE2_COMMON (...);
1419 parameter [63:0] BIAS_CFG = 64'h0000000000000000;
1420 parameter [31:0] COMMON_CFG = 32'h00000000;
1421 parameter [0:0] IS_DRPCLK_INVERTED = 1'b0;
1422 parameter [0:0] IS_GTGREFCLK0_INVERTED = 1'b0;
1423 parameter [0:0] IS_GTGREFCLK1_INVERTED = 1'b0;
1424 parameter [0:0] IS_PLL0LOCKDETCLK_INVERTED = 1'b0;
1425 parameter [0:0] IS_PLL1LOCKDETCLK_INVERTED = 1'b0;
1426 parameter [26:0] PLL0_CFG = 27'h01F03DC;
1427 parameter [0:0] PLL0_DMON_CFG = 1'b0;
1428 parameter integer PLL0_FBDIV = 4;
1429 parameter integer PLL0_FBDIV_45 = 5;
1430 parameter [23:0] PLL0_INIT_CFG = 24'h00001E;
1431 parameter [8:0] PLL0_LOCK_CFG = 9'h1E8;
1432 parameter integer PLL0_REFCLK_DIV = 1;
1433 parameter [26:0] PLL1_CFG = 27'h01F03DC;
1434 parameter [0:0] PLL1_DMON_CFG = 1'b0;
1435 parameter integer PLL1_FBDIV = 4;
1436 parameter integer PLL1_FBDIV_45 = 5;
1437 parameter [23:0] PLL1_INIT_CFG = 24'h00001E;
1438 parameter [8:0] PLL1_LOCK_CFG = 9'h1E8;
1439 parameter integer PLL1_REFCLK_DIV = 1;
1440 parameter [7:0] PLL_CLKOUT_CFG = 8'b00000000;
1441 parameter [15:0] RSVD_ATTR0 = 16'h0000;
1442 parameter [15:0] RSVD_ATTR1 = 16'h0000;
1443 parameter [2:0] SIM_PLL0REFCLK_SEL = 3'b001;
1444 parameter [2:0] SIM_PLL1REFCLK_SEL = 3'b001;
1445 parameter SIM_RESET_SPEEDUP = "TRUE";
1446 parameter SIM_VERSION = "1.0";
1447 output DRPRDY;
1448 output PLL0FBCLKLOST;
1449 output PLL0LOCK;
1450 output PLL0OUTCLK;
1451 output PLL0OUTREFCLK;
1452 output PLL0REFCLKLOST;
1453 output PLL1FBCLKLOST;
1454 output PLL1LOCK;
1455 output PLL1OUTCLK;
1456 output PLL1OUTREFCLK;
1457 output PLL1REFCLKLOST;
1458 output REFCLKOUTMONITOR0;
1459 output REFCLKOUTMONITOR1;
1460 output [15:0] DRPDO;
1461 output [15:0] PMARSVDOUT;
1462 output [7:0] DMONITOROUT;
1463 input BGBYPASSB;
1464 input BGMONITORENB;
1465 input BGPDB;
1466 input BGRCALOVRDENB;
1467 input DRPCLK;
1468 input DRPEN;
1469 input DRPWE;
1470 input GTEASTREFCLK0;
1471 input GTEASTREFCLK1;
1472 input GTGREFCLK0;
1473 input GTGREFCLK1;
1474 input GTREFCLK0;
1475 input GTREFCLK1;
1476 input GTWESTREFCLK0;
1477 input GTWESTREFCLK1;
1478 input PLL0LOCKDETCLK;
1479 input PLL0LOCKEN;
1480 input PLL0PD;
1481 input PLL0RESET;
1482 input PLL1LOCKDETCLK;
1483 input PLL1LOCKEN;
1484 input PLL1PD;
1485 input PLL1RESET;
1486 input RCALENB;
1487 input [15:0] DRPDI;
1488 input [15:0] PLLRSVD1;
1489 input [2:0] PLL0REFCLKSEL;
1490 input [2:0] PLL1REFCLKSEL;
1491 input [4:0] BGRCALOVRD;
1492 input [4:0] PLLRSVD2;
1493 input [7:0] DRPADDR;
1494 input [7:0] PMARSVD;
1495 endmodule
1496
1497 module GTXE2_CHANNEL (...);
1498 parameter ALIGN_COMMA_DOUBLE = "FALSE";
1499 parameter [9:0] ALIGN_COMMA_ENABLE = 10'b0001111111;
1500 parameter integer ALIGN_COMMA_WORD = 1;
1501 parameter ALIGN_MCOMMA_DET = "TRUE";
1502 parameter [9:0] ALIGN_MCOMMA_VALUE = 10'b1010000011;
1503 parameter ALIGN_PCOMMA_DET = "TRUE";
1504 parameter [9:0] ALIGN_PCOMMA_VALUE = 10'b0101111100;
1505 parameter CBCC_DATA_SOURCE_SEL = "DECODED";
1506 parameter CHAN_BOND_KEEP_ALIGN = "FALSE";
1507 parameter integer CHAN_BOND_MAX_SKEW = 7;
1508 parameter [9:0] CHAN_BOND_SEQ_1_1 = 10'b0101111100;
1509 parameter [9:0] CHAN_BOND_SEQ_1_2 = 10'b0000000000;
1510 parameter [9:0] CHAN_BOND_SEQ_1_3 = 10'b0000000000;
1511 parameter [9:0] CHAN_BOND_SEQ_1_4 = 10'b0000000000;
1512 parameter [3:0] CHAN_BOND_SEQ_1_ENABLE = 4'b1111;
1513 parameter [9:0] CHAN_BOND_SEQ_2_1 = 10'b0100000000;
1514 parameter [9:0] CHAN_BOND_SEQ_2_2 = 10'b0100000000;
1515 parameter [9:0] CHAN_BOND_SEQ_2_3 = 10'b0100000000;
1516 parameter [9:0] CHAN_BOND_SEQ_2_4 = 10'b0100000000;
1517 parameter [3:0] CHAN_BOND_SEQ_2_ENABLE = 4'b1111;
1518 parameter CHAN_BOND_SEQ_2_USE = "FALSE";
1519 parameter integer CHAN_BOND_SEQ_LEN = 1;
1520 parameter CLK_CORRECT_USE = "TRUE";
1521 parameter CLK_COR_KEEP_IDLE = "FALSE";
1522 parameter integer CLK_COR_MAX_LAT = 20;
1523 parameter integer CLK_COR_MIN_LAT = 18;
1524 parameter CLK_COR_PRECEDENCE = "TRUE";
1525 parameter integer CLK_COR_REPEAT_WAIT = 0;
1526 parameter [9:0] CLK_COR_SEQ_1_1 = 10'b0100011100;
1527 parameter [9:0] CLK_COR_SEQ_1_2 = 10'b0000000000;
1528 parameter [9:0] CLK_COR_SEQ_1_3 = 10'b0000000000;
1529 parameter [9:0] CLK_COR_SEQ_1_4 = 10'b0000000000;
1530 parameter [3:0] CLK_COR_SEQ_1_ENABLE = 4'b1111;
1531 parameter [9:0] CLK_COR_SEQ_2_1 = 10'b0100000000;
1532 parameter [9:0] CLK_COR_SEQ_2_2 = 10'b0100000000;
1533 parameter [9:0] CLK_COR_SEQ_2_3 = 10'b0100000000;
1534 parameter [9:0] CLK_COR_SEQ_2_4 = 10'b0100000000;
1535 parameter [3:0] CLK_COR_SEQ_2_ENABLE = 4'b1111;
1536 parameter CLK_COR_SEQ_2_USE = "FALSE";
1537 parameter integer CLK_COR_SEQ_LEN = 1;
1538 parameter [23:0] CPLL_CFG = 24'hB007D8;
1539 parameter integer CPLL_FBDIV = 4;
1540 parameter integer CPLL_FBDIV_45 = 5;
1541 parameter [23:0] CPLL_INIT_CFG = 24'h00001E;
1542 parameter [15:0] CPLL_LOCK_CFG = 16'h01E8;
1543 parameter integer CPLL_REFCLK_DIV = 1;
1544 parameter DEC_MCOMMA_DETECT = "TRUE";
1545 parameter DEC_PCOMMA_DETECT = "TRUE";
1546 parameter DEC_VALID_COMMA_ONLY = "TRUE";
1547 parameter [23:0] DMONITOR_CFG = 24'h000A00;
1548 parameter [5:0] ES_CONTROL = 6'b000000;
1549 parameter ES_ERRDET_EN = "FALSE";
1550 parameter ES_EYE_SCAN_EN = "FALSE";
1551 parameter [11:0] ES_HORZ_OFFSET = 12'h000;
1552 parameter [9:0] ES_PMA_CFG = 10'b0000000000;
1553 parameter [4:0] ES_PRESCALE = 5'b00000;
1554 parameter [79:0] ES_QUALIFIER = 80'h00000000000000000000;
1555 parameter [79:0] ES_QUAL_MASK = 80'h00000000000000000000;
1556 parameter [79:0] ES_SDATA_MASK = 80'h00000000000000000000;
1557 parameter [8:0] ES_VERT_OFFSET = 9'b000000000;
1558 parameter [3:0] FTS_DESKEW_SEQ_ENABLE = 4'b1111;
1559 parameter [3:0] FTS_LANE_DESKEW_CFG = 4'b1111;
1560 parameter FTS_LANE_DESKEW_EN = "FALSE";
1561 parameter [2:0] GEARBOX_MODE = 3'b000;
1562 parameter [0:0] IS_CPLLLOCKDETCLK_INVERTED = 1'b0;
1563 parameter [0:0] IS_DRPCLK_INVERTED = 1'b0;
1564 parameter [0:0] IS_GTGREFCLK_INVERTED = 1'b0;
1565 parameter [0:0] IS_RXUSRCLK2_INVERTED = 1'b0;
1566 parameter [0:0] IS_RXUSRCLK_INVERTED = 1'b0;
1567 parameter [0:0] IS_TXPHDLYTSTCLK_INVERTED = 1'b0;
1568 parameter [0:0] IS_TXUSRCLK2_INVERTED = 1'b0;
1569 parameter [0:0] IS_TXUSRCLK_INVERTED = 1'b0;
1570 parameter [1:0] OUTREFCLK_SEL_INV = 2'b11;
1571 parameter PCS_PCIE_EN = "FALSE";
1572 parameter [47:0] PCS_RSVD_ATTR = 48'h000000000000;
1573 parameter [11:0] PD_TRANS_TIME_FROM_P2 = 12'h03C;
1574 parameter [7:0] PD_TRANS_TIME_NONE_P2 = 8'h19;
1575 parameter [7:0] PD_TRANS_TIME_TO_P2 = 8'h64;
1576 parameter [31:0] PMA_RSV = 32'h00000000;
1577 parameter [15:0] PMA_RSV2 = 16'h2050;
1578 parameter [1:0] PMA_RSV3 = 2'b00;
1579 parameter [31:0] PMA_RSV4 = 32'h00000000;
1580 parameter [4:0] RXBUFRESET_TIME = 5'b00001;
1581 parameter RXBUF_ADDR_MODE = "FULL";
1582 parameter [3:0] RXBUF_EIDLE_HI_CNT = 4'b1000;
1583 parameter [3:0] RXBUF_EIDLE_LO_CNT = 4'b0000;
1584 parameter RXBUF_EN = "TRUE";
1585 parameter RXBUF_RESET_ON_CB_CHANGE = "TRUE";
1586 parameter RXBUF_RESET_ON_COMMAALIGN = "FALSE";
1587 parameter RXBUF_RESET_ON_EIDLE = "FALSE";
1588 parameter RXBUF_RESET_ON_RATE_CHANGE = "TRUE";
1589 parameter integer RXBUF_THRESH_OVFLW = 61;
1590 parameter RXBUF_THRESH_OVRD = "FALSE";
1591 parameter integer RXBUF_THRESH_UNDFLW = 4;
1592 parameter [4:0] RXCDRFREQRESET_TIME = 5'b00001;
1593 parameter [4:0] RXCDRPHRESET_TIME = 5'b00001;
1594 parameter [71:0] RXCDR_CFG = 72'h0B000023FF20400020;
1595 parameter [0:0] RXCDR_FR_RESET_ON_EIDLE = 1'b0;
1596 parameter [0:0] RXCDR_HOLD_DURING_EIDLE = 1'b0;
1597 parameter [5:0] RXCDR_LOCK_CFG = 6'b010101;
1598 parameter [0:0] RXCDR_PH_RESET_ON_EIDLE = 1'b0;
1599 parameter [6:0] RXDFELPMRESET_TIME = 7'b0001111;
1600 parameter [15:0] RXDLY_CFG = 16'h001F;
1601 parameter [8:0] RXDLY_LCFG = 9'h030;
1602 parameter [15:0] RXDLY_TAP_CFG = 16'h0000;
1603 parameter RXGEARBOX_EN = "FALSE";
1604 parameter [4:0] RXISCANRESET_TIME = 5'b00001;
1605 parameter [13:0] RXLPM_HF_CFG = 14'b00000011110000;
1606 parameter [13:0] RXLPM_LF_CFG = 14'b00000011110000;
1607 parameter [6:0] RXOOB_CFG = 7'b0000110;
1608 parameter integer RXOUT_DIV = 2;
1609 parameter [4:0] RXPCSRESET_TIME = 5'b00001;
1610 parameter [23:0] RXPHDLY_CFG = 24'h084020;
1611 parameter [23:0] RXPH_CFG = 24'h000000;
1612 parameter [4:0] RXPH_MONITOR_SEL = 5'b00000;
1613 parameter [4:0] RXPMARESET_TIME = 5'b00011;
1614 parameter [0:0] RXPRBS_ERR_LOOPBACK = 1'b0;
1615 parameter integer RXSLIDE_AUTO_WAIT = 7;
1616 parameter RXSLIDE_MODE = "OFF";
1617 parameter [11:0] RX_BIAS_CFG = 12'b000000000000;
1618 parameter [5:0] RX_BUFFER_CFG = 6'b000000;
1619 parameter integer RX_CLK25_DIV = 7;
1620 parameter [0:0] RX_CLKMUX_PD = 1'b1;
1621 parameter [1:0] RX_CM_SEL = 2'b11;
1622 parameter [2:0] RX_CM_TRIM = 3'b100;
1623 parameter integer RX_DATA_WIDTH = 20;
1624 parameter [5:0] RX_DDI_SEL = 6'b000000;
1625 parameter [11:0] RX_DEBUG_CFG = 12'b000000000000;
1626 parameter RX_DEFER_RESET_BUF_EN = "TRUE";
1627 parameter [22:0] RX_DFE_GAIN_CFG = 23'h180E0F;
1628 parameter [11:0] RX_DFE_H2_CFG = 12'b000111100000;
1629 parameter [11:0] RX_DFE_H3_CFG = 12'b000111100000;
1630 parameter [10:0] RX_DFE_H4_CFG = 11'b00011110000;
1631 parameter [10:0] RX_DFE_H5_CFG = 11'b00011110000;
1632 parameter [12:0] RX_DFE_KL_CFG = 13'b0001111110000;
1633 parameter [31:0] RX_DFE_KL_CFG2 = 32'h3008E56A;
1634 parameter [15:0] RX_DFE_LPM_CFG = 16'h0904;
1635 parameter [0:0] RX_DFE_LPM_HOLD_DURING_EIDLE = 1'b0;
1636 parameter [16:0] RX_DFE_UT_CFG = 17'b00111111000000000;
1637 parameter [16:0] RX_DFE_VP_CFG = 17'b00011111100000000;
1638 parameter [12:0] RX_DFE_XYD_CFG = 13'b0000000010000;
1639 parameter RX_DISPERR_SEQ_MATCH = "TRUE";
1640 parameter integer RX_INT_DATAWIDTH = 0;
1641 parameter [12:0] RX_OS_CFG = 13'b0001111110000;
1642 parameter integer RX_SIG_VALID_DLY = 10;
1643 parameter RX_XCLK_SEL = "RXREC";
1644 parameter integer SAS_MAX_COM = 64;
1645 parameter integer SAS_MIN_COM = 36;
1646 parameter [3:0] SATA_BURST_SEQ_LEN = 4'b1111;
1647 parameter [2:0] SATA_BURST_VAL = 3'b100;
1648 parameter SATA_CPLL_CFG = "VCO_3000MHZ";
1649 parameter [2:0] SATA_EIDLE_VAL = 3'b100;
1650 parameter integer SATA_MAX_BURST = 8;
1651 parameter integer SATA_MAX_INIT = 21;
1652 parameter integer SATA_MAX_WAKE = 7;
1653 parameter integer SATA_MIN_BURST = 4;
1654 parameter integer SATA_MIN_INIT = 12;
1655 parameter integer SATA_MIN_WAKE = 4;
1656 parameter SHOW_REALIGN_COMMA = "TRUE";
1657 parameter [2:0] SIM_CPLLREFCLK_SEL = 3'b001;
1658 parameter SIM_RECEIVER_DETECT_PASS = "TRUE";
1659 parameter SIM_RESET_SPEEDUP = "TRUE";
1660 parameter SIM_TX_EIDLE_DRIVE_LEVEL = "X";
1661 parameter SIM_VERSION = "4.0";
1662 parameter [4:0] TERM_RCAL_CFG = 5'b10000;
1663 parameter [0:0] TERM_RCAL_OVRD = 1'b0;
1664 parameter [7:0] TRANS_TIME_RATE = 8'h0E;
1665 parameter [31:0] TST_RSV = 32'h00000000;
1666 parameter TXBUF_EN = "TRUE";
1667 parameter TXBUF_RESET_ON_RATE_CHANGE = "FALSE";
1668 parameter [15:0] TXDLY_CFG = 16'h001F;
1669 parameter [8:0] TXDLY_LCFG = 9'h030;
1670 parameter [15:0] TXDLY_TAP_CFG = 16'h0000;
1671 parameter TXGEARBOX_EN = "FALSE";
1672 parameter integer TXOUT_DIV = 2;
1673 parameter [4:0] TXPCSRESET_TIME = 5'b00001;
1674 parameter [23:0] TXPHDLY_CFG = 24'h084020;
1675 parameter [15:0] TXPH_CFG = 16'h0780;
1676 parameter [4:0] TXPH_MONITOR_SEL = 5'b00000;
1677 parameter [4:0] TXPMARESET_TIME = 5'b00001;
1678 parameter integer TX_CLK25_DIV = 7;
1679 parameter [0:0] TX_CLKMUX_PD = 1'b1;
1680 parameter integer TX_DATA_WIDTH = 20;
1681 parameter [4:0] TX_DEEMPH0 = 5'b00000;
1682 parameter [4:0] TX_DEEMPH1 = 5'b00000;
1683 parameter TX_DRIVE_MODE = "DIRECT";
1684 parameter [2:0] TX_EIDLE_ASSERT_DELAY = 3'b110;
1685 parameter [2:0] TX_EIDLE_DEASSERT_DELAY = 3'b100;
1686 parameter integer TX_INT_DATAWIDTH = 0;
1687 parameter TX_LOOPBACK_DRIVE_HIZ = "FALSE";
1688 parameter [0:0] TX_MAINCURSOR_SEL = 1'b0;
1689 parameter [6:0] TX_MARGIN_FULL_0 = 7'b1001110;
1690 parameter [6:0] TX_MARGIN_FULL_1 = 7'b1001001;
1691 parameter [6:0] TX_MARGIN_FULL_2 = 7'b1000101;
1692 parameter [6:0] TX_MARGIN_FULL_3 = 7'b1000010;
1693 parameter [6:0] TX_MARGIN_FULL_4 = 7'b1000000;
1694 parameter [6:0] TX_MARGIN_LOW_0 = 7'b1000110;
1695 parameter [6:0] TX_MARGIN_LOW_1 = 7'b1000100;
1696 parameter [6:0] TX_MARGIN_LOW_2 = 7'b1000010;
1697 parameter [6:0] TX_MARGIN_LOW_3 = 7'b1000000;
1698 parameter [6:0] TX_MARGIN_LOW_4 = 7'b1000000;
1699 parameter [0:0] TX_PREDRIVER_MODE = 1'b0;
1700 parameter [0:0] TX_QPI_STATUS_EN = 1'b0;
1701 parameter [13:0] TX_RXDETECT_CFG = 14'h1832;
1702 parameter [2:0] TX_RXDETECT_REF = 3'b100;
1703 parameter TX_XCLK_SEL = "TXUSR";
1704 parameter [0:0] UCODEER_CLR = 1'b0;
1705 output CPLLFBCLKLOST;
1706 output CPLLLOCK;
1707 output CPLLREFCLKLOST;
1708 output DRPRDY;
1709 output EYESCANDATAERROR;
1710 output GTREFCLKMONITOR;
1711 output GTXTXN;
1712 output GTXTXP;
1713 output PHYSTATUS;
1714 output RXBYTEISALIGNED;
1715 output RXBYTEREALIGN;
1716 output RXCDRLOCK;
1717 output RXCHANBONDSEQ;
1718 output RXCHANISALIGNED;
1719 output RXCHANREALIGN;
1720 output RXCOMINITDET;
1721 output RXCOMMADET;
1722 output RXCOMSASDET;
1723 output RXCOMWAKEDET;
1724 output RXDATAVALID;
1725 output RXDLYSRESETDONE;
1726 output RXELECIDLE;
1727 output RXHEADERVALID;
1728 output RXOUTCLK;
1729 output RXOUTCLKFABRIC;
1730 output RXOUTCLKPCS;
1731 output RXPHALIGNDONE;
1732 output RXPRBSERR;
1733 output RXQPISENN;
1734 output RXQPISENP;
1735 output RXRATEDONE;
1736 output RXRESETDONE;
1737 output RXSTARTOFSEQ;
1738 output RXVALID;
1739 output TXCOMFINISH;
1740 output TXDLYSRESETDONE;
1741 output TXGEARBOXREADY;
1742 output TXOUTCLK;
1743 output TXOUTCLKFABRIC;
1744 output TXOUTCLKPCS;
1745 output TXPHALIGNDONE;
1746 output TXPHINITDONE;
1747 output TXQPISENN;
1748 output TXQPISENP;
1749 output TXRATEDONE;
1750 output TXRESETDONE;
1751 output [15:0] DRPDO;
1752 output [15:0] PCSRSVDOUT;
1753 output [1:0] RXCLKCORCNT;
1754 output [1:0] TXBUFSTATUS;
1755 output [2:0] RXBUFSTATUS;
1756 output [2:0] RXHEADER;
1757 output [2:0] RXSTATUS;
1758 output [4:0] RXCHBONDO;
1759 output [4:0] RXPHMONITOR;
1760 output [4:0] RXPHSLIPMONITOR;
1761 output [63:0] RXDATA;
1762 output [6:0] RXMONITOROUT;
1763 output [7:0] DMONITOROUT;
1764 output [7:0] RXCHARISCOMMA;
1765 output [7:0] RXCHARISK;
1766 output [7:0] RXDISPERR;
1767 output [7:0] RXNOTINTABLE;
1768 output [9:0] TSTOUT;
1769 input CFGRESET;
1770 input CPLLLOCKDETCLK;
1771 input CPLLLOCKEN;
1772 input CPLLPD;
1773 input CPLLRESET;
1774 input DRPCLK;
1775 input DRPEN;
1776 input DRPWE;
1777 input EYESCANMODE;
1778 input EYESCANRESET;
1779 input EYESCANTRIGGER;
1780 input GTGREFCLK;
1781 input GTNORTHREFCLK0;
1782 input GTNORTHREFCLK1;
1783 input GTREFCLK0;
1784 input GTREFCLK1;
1785 input GTRESETSEL;
1786 input GTRXRESET;
1787 input GTSOUTHREFCLK0;
1788 input GTSOUTHREFCLK1;
1789 input GTTXRESET;
1790 input GTXRXN;
1791 input GTXRXP;
1792 input QPLLCLK;
1793 input QPLLREFCLK;
1794 input RESETOVRD;
1795 input RX8B10BEN;
1796 input RXBUFRESET;
1797 input RXCDRFREQRESET;
1798 input RXCDRHOLD;
1799 input RXCDROVRDEN;
1800 input RXCDRRESET;
1801 input RXCDRRESETRSV;
1802 input RXCHBONDEN;
1803 input RXCHBONDMASTER;
1804 input RXCHBONDSLAVE;
1805 input RXCOMMADETEN;
1806 input RXDDIEN;
1807 input RXDFEAGCHOLD;
1808 input RXDFEAGCOVRDEN;
1809 input RXDFECM1EN;
1810 input RXDFELFHOLD;
1811 input RXDFELFOVRDEN;
1812 input RXDFELPMRESET;
1813 input RXDFETAP2HOLD;
1814 input RXDFETAP2OVRDEN;
1815 input RXDFETAP3HOLD;
1816 input RXDFETAP3OVRDEN;
1817 input RXDFETAP4HOLD;
1818 input RXDFETAP4OVRDEN;
1819 input RXDFETAP5HOLD;
1820 input RXDFETAP5OVRDEN;
1821 input RXDFEUTHOLD;
1822 input RXDFEUTOVRDEN;
1823 input RXDFEVPHOLD;
1824 input RXDFEVPOVRDEN;
1825 input RXDFEVSEN;
1826 input RXDFEXYDEN;
1827 input RXDFEXYDHOLD;
1828 input RXDFEXYDOVRDEN;
1829 input RXDLYBYPASS;
1830 input RXDLYEN;
1831 input RXDLYOVRDEN;
1832 input RXDLYSRESET;
1833 input RXGEARBOXSLIP;
1834 input RXLPMEN;
1835 input RXLPMHFHOLD;
1836 input RXLPMHFOVRDEN;
1837 input RXLPMLFHOLD;
1838 input RXLPMLFKLOVRDEN;
1839 input RXMCOMMAALIGNEN;
1840 input RXOOBRESET;
1841 input RXOSHOLD;
1842 input RXOSOVRDEN;
1843 input RXPCOMMAALIGNEN;
1844 input RXPCSRESET;
1845 input RXPHALIGN;
1846 input RXPHALIGNEN;
1847 input RXPHDLYPD;
1848 input RXPHDLYRESET;
1849 input RXPHOVRDEN;
1850 input RXPMARESET;
1851 input RXPOLARITY;
1852 input RXPRBSCNTRESET;
1853 input RXQPIEN;
1854 input RXSLIDE;
1855 input RXUSERRDY;
1856 input RXUSRCLK2;
1857 input RXUSRCLK;
1858 input SETERRSTATUS;
1859 input TX8B10BEN;
1860 input TXCOMINIT;
1861 input TXCOMSAS;
1862 input TXCOMWAKE;
1863 input TXDEEMPH;
1864 input TXDETECTRX;
1865 input TXDIFFPD;
1866 input TXDLYBYPASS;
1867 input TXDLYEN;
1868 input TXDLYHOLD;
1869 input TXDLYOVRDEN;
1870 input TXDLYSRESET;
1871 input TXDLYUPDOWN;
1872 input TXELECIDLE;
1873 input TXINHIBIT;
1874 input TXPCSRESET;
1875 input TXPDELECIDLEMODE;
1876 input TXPHALIGN;
1877 input TXPHALIGNEN;
1878 input TXPHDLYPD;
1879 input TXPHDLYRESET;
1880 input TXPHDLYTSTCLK;
1881 input TXPHINIT;
1882 input TXPHOVRDEN;
1883 input TXPISOPD;
1884 input TXPMARESET;
1885 input TXPOLARITY;
1886 input TXPOSTCURSORINV;
1887 input TXPRBSFORCEERR;
1888 input TXPRECURSORINV;
1889 input TXQPIBIASEN;
1890 input TXQPISTRONGPDOWN;
1891 input TXQPIWEAKPUP;
1892 input TXSTARTSEQ;
1893 input TXSWING;
1894 input TXUSERRDY;
1895 input TXUSRCLK2;
1896 input TXUSRCLK;
1897 input [15:0] DRPDI;
1898 input [15:0] GTRSVD;
1899 input [15:0] PCSRSVDIN;
1900 input [19:0] TSTIN;
1901 input [1:0] RXELECIDLEMODE;
1902 input [1:0] RXMONITORSEL;
1903 input [1:0] RXPD;
1904 input [1:0] RXSYSCLKSEL;
1905 input [1:0] TXPD;
1906 input [1:0] TXSYSCLKSEL;
1907 input [2:0] CPLLREFCLKSEL;
1908 input [2:0] LOOPBACK;
1909 input [2:0] RXCHBONDLEVEL;
1910 input [2:0] RXOUTCLKSEL;
1911 input [2:0] RXPRBSSEL;
1912 input [2:0] RXRATE;
1913 input [2:0] TXBUFDIFFCTRL;
1914 input [2:0] TXHEADER;
1915 input [2:0] TXMARGIN;
1916 input [2:0] TXOUTCLKSEL;
1917 input [2:0] TXPRBSSEL;
1918 input [2:0] TXRATE;
1919 input [3:0] CLKRSVD;
1920 input [3:0] TXDIFFCTRL;
1921 input [4:0] PCSRSVDIN2;
1922 input [4:0] PMARSVDIN2;
1923 input [4:0] PMARSVDIN;
1924 input [4:0] RXCHBONDI;
1925 input [4:0] TXPOSTCURSOR;
1926 input [4:0] TXPRECURSOR;
1927 input [63:0] TXDATA;
1928 input [6:0] TXMAINCURSOR;
1929 input [6:0] TXSEQUENCE;
1930 input [7:0] TX8B10BBYPASS;
1931 input [7:0] TXCHARDISPMODE;
1932 input [7:0] TXCHARDISPVAL;
1933 input [7:0] TXCHARISK;
1934 input [8:0] DRPADDR;
1935 endmodule
1936
1937 module GTXE2_COMMON (...);
1938 parameter [63:0] BIAS_CFG = 64'h0000040000001000;
1939 parameter [31:0] COMMON_CFG = 32'h00000000;
1940 parameter [0:0] IS_DRPCLK_INVERTED = 1'b0;
1941 parameter [0:0] IS_GTGREFCLK_INVERTED = 1'b0;
1942 parameter [0:0] IS_QPLLLOCKDETCLK_INVERTED = 1'b0;
1943 parameter [26:0] QPLL_CFG = 27'h0680181;
1944 parameter [3:0] QPLL_CLKOUT_CFG = 4'b0000;
1945 parameter [5:0] QPLL_COARSE_FREQ_OVRD = 6'b010000;
1946 parameter [0:0] QPLL_COARSE_FREQ_OVRD_EN = 1'b0;
1947 parameter [9:0] QPLL_CP = 10'b0000011111;
1948 parameter [0:0] QPLL_CP_MONITOR_EN = 1'b0;
1949 parameter [0:0] QPLL_DMONITOR_SEL = 1'b0;
1950 parameter [9:0] QPLL_FBDIV = 10'b0000000000;
1951 parameter [0:0] QPLL_FBDIV_MONITOR_EN = 1'b0;
1952 parameter [0:0] QPLL_FBDIV_RATIO = 1'b0;
1953 parameter [23:0] QPLL_INIT_CFG = 24'h000006;
1954 parameter [15:0] QPLL_LOCK_CFG = 16'h21E8;
1955 parameter [3:0] QPLL_LPF = 4'b1111;
1956 parameter integer QPLL_REFCLK_DIV = 2;
1957 parameter [2:0] SIM_QPLLREFCLK_SEL = 3'b001;
1958 parameter SIM_RESET_SPEEDUP = "TRUE";
1959 parameter SIM_VERSION = "4.0";
1960 output DRPRDY;
1961 output QPLLFBCLKLOST;
1962 output QPLLLOCK;
1963 output QPLLOUTCLK;
1964 output QPLLOUTREFCLK;
1965 output QPLLREFCLKLOST;
1966 output REFCLKOUTMONITOR;
1967 output [15:0] DRPDO;
1968 output [7:0] QPLLDMONITOR;
1969 input BGBYPASSB;
1970 input BGMONITORENB;
1971 input BGPDB;
1972 input DRPCLK;
1973 input DRPEN;
1974 input DRPWE;
1975 input GTGREFCLK;
1976 input GTNORTHREFCLK0;
1977 input GTNORTHREFCLK1;
1978 input GTREFCLK0;
1979 input GTREFCLK1;
1980 input GTSOUTHREFCLK0;
1981 input GTSOUTHREFCLK1;
1982 input QPLLLOCKDETCLK;
1983 input QPLLLOCKEN;
1984 input QPLLOUTRESET;
1985 input QPLLPD;
1986 input QPLLRESET;
1987 input RCALENB;
1988 input [15:0] DRPDI;
1989 input [15:0] QPLLRSVD1;
1990 input [2:0] QPLLREFCLKSEL;
1991 input [4:0] BGRCALOVRD;
1992 input [4:0] QPLLRSVD2;
1993 input [7:0] DRPADDR;
1994 input [7:0] PMARSVD;
1995 endmodule
1996
1997 module IBUF_IBUFDISABLE (...);
1998 parameter IBUF_LOW_PWR = "TRUE";
1999 parameter IOSTANDARD = "DEFAULT";
2000 parameter SIM_DEVICE = "7SERIES";
2001 parameter USE_IBUFDISABLE = "TRUE";
2002 output O;
2003 (* iopad_external_pin *)
2004 input I;
2005 input IBUFDISABLE;
2006 endmodule
2007
2008 module IBUF_INTERMDISABLE (...);
2009 parameter IBUF_LOW_PWR = "TRUE";
2010 parameter IOSTANDARD = "DEFAULT";
2011 parameter SIM_DEVICE = "7SERIES";
2012 parameter USE_IBUFDISABLE = "TRUE";
2013 output O;
2014 (* iopad_external_pin *)
2015 input I;
2016 input IBUFDISABLE;
2017 input INTERMDISABLE;
2018 endmodule
2019
2020 module IBUFDS (...);
2021 parameter CAPACITANCE = "DONT_CARE";
2022 parameter DIFF_TERM = "FALSE";
2023 parameter DQS_BIAS = "FALSE";
2024 parameter IBUF_DELAY_VALUE = "0";
2025 parameter IBUF_LOW_PWR = "TRUE";
2026 parameter IFD_DELAY_VALUE = "AUTO";
2027 parameter IOSTANDARD = "DEFAULT";
2028 output O;
2029 (* iopad_external_pin *)
2030 input I;
2031 (* iopad_external_pin *)
2032 input IB;
2033 endmodule
2034
2035 module IBUFDS_DIFF_OUT (...);
2036 parameter DIFF_TERM = "FALSE";
2037 parameter DQS_BIAS = "FALSE";
2038 parameter IBUF_LOW_PWR = "TRUE";
2039 parameter IOSTANDARD = "DEFAULT";
2040 output O;
2041 output OB;
2042 (* iopad_external_pin *)
2043 input I;
2044 (* iopad_external_pin *)
2045 input IB;
2046 endmodule
2047
2048 module IBUFDS_DIFF_OUT_IBUFDISABLE (...);
2049 parameter DIFF_TERM = "FALSE";
2050 parameter DQS_BIAS = "FALSE";
2051 parameter IBUF_LOW_PWR = "TRUE";
2052 parameter IOSTANDARD = "DEFAULT";
2053 parameter SIM_DEVICE = "7SERIES";
2054 parameter USE_IBUFDISABLE = "TRUE";
2055 output O;
2056 output OB;
2057 (* iopad_external_pin *)
2058 input I;
2059 (* iopad_external_pin *)
2060 input IB;
2061 input IBUFDISABLE;
2062 endmodule
2063
2064 module IBUFDS_DIFF_OUT_INTERMDISABLE (...);
2065 parameter DIFF_TERM = "FALSE";
2066 parameter DQS_BIAS = "FALSE";
2067 parameter IBUF_LOW_PWR = "TRUE";
2068 parameter IOSTANDARD = "DEFAULT";
2069 parameter SIM_DEVICE = "7SERIES";
2070 parameter USE_IBUFDISABLE = "TRUE";
2071 output O;
2072 output OB;
2073 (* iopad_external_pin *)
2074 input I;
2075 (* iopad_external_pin *)
2076 input IB;
2077 input IBUFDISABLE;
2078 input INTERMDISABLE;
2079 endmodule
2080
2081 module IBUFDS_GTE2 (...);
2082 parameter CLKCM_CFG = "TRUE";
2083 parameter CLKRCV_TRST = "TRUE";
2084 parameter CLKSWING_CFG = "TRUE";
2085 output O;
2086 output ODIV2;
2087 input CEB;
2088 (* iopad_external_pin *)
2089 input I;
2090 (* iopad_external_pin *)
2091 input IB;
2092 endmodule
2093
2094 module IBUFDS_IBUFDISABLE (...);
2095 parameter DIFF_TERM = "FALSE";
2096 parameter DQS_BIAS = "FALSE";
2097 parameter IBUF_LOW_PWR = "TRUE";
2098 parameter IOSTANDARD = "DEFAULT";
2099 parameter SIM_DEVICE = "7SERIES";
2100 parameter USE_IBUFDISABLE = "TRUE";
2101 output O;
2102 (* iopad_external_pin *)
2103 input I;
2104 (* iopad_external_pin *)
2105 input IB;
2106 input IBUFDISABLE;
2107 endmodule
2108
2109 module IBUFDS_INTERMDISABLE (...);
2110 parameter DIFF_TERM = "FALSE";
2111 parameter DQS_BIAS = "FALSE";
2112 parameter IBUF_LOW_PWR = "TRUE";
2113 parameter IOSTANDARD = "DEFAULT";
2114 parameter SIM_DEVICE = "7SERIES";
2115 parameter USE_IBUFDISABLE = "TRUE";
2116 output O;
2117 (* iopad_external_pin *)
2118 input I;
2119 (* iopad_external_pin *)
2120 input IB;
2121 input IBUFDISABLE;
2122 input INTERMDISABLE;
2123 endmodule
2124
2125 module IBUFG (...);
2126 parameter CAPACITANCE = "DONT_CARE";
2127 parameter IBUF_DELAY_VALUE = "0";
2128 parameter IBUF_LOW_PWR = "TRUE";
2129 parameter IOSTANDARD = "DEFAULT";
2130 output O;
2131 (* iopad_external_pin *)
2132 input I;
2133 endmodule
2134
2135 module IBUFGDS (...);
2136 parameter CAPACITANCE = "DONT_CARE";
2137 parameter DIFF_TERM = "FALSE";
2138 parameter IBUF_DELAY_VALUE = "0";
2139 parameter IBUF_LOW_PWR = "TRUE";
2140 parameter IOSTANDARD = "DEFAULT";
2141 output O;
2142 (* iopad_external_pin *)
2143 input I;
2144 (* iopad_external_pin *)
2145 input IB;
2146 endmodule
2147
2148 module IBUFGDS_DIFF_OUT (...);
2149 parameter DIFF_TERM = "FALSE";
2150 parameter DQS_BIAS = "FALSE";
2151 parameter IBUF_LOW_PWR = "TRUE";
2152 parameter IOSTANDARD = "DEFAULT";
2153 output O;
2154 output OB;
2155 (* iopad_external_pin *)
2156 input I;
2157 (* iopad_external_pin *)
2158 input IB;
2159 endmodule
2160
2161 (* keep *)
2162 module ICAPE2 (...);
2163 parameter [31:0] DEVICE_ID = 32'h04244093;
2164 parameter ICAP_WIDTH = "X32";
2165 parameter SIM_CFG_FILE_NAME = "NONE";
2166 output [31:0] O;
2167 input CLK;
2168 input CSIB;
2169 input RDWRB;
2170 input [31:0] I;
2171 endmodule
2172
2173 module IDDR (...);
2174 parameter DDR_CLK_EDGE = "OPPOSITE_EDGE";
2175 parameter INIT_Q1 = 1'b0;
2176 parameter INIT_Q2 = 1'b0;
2177 parameter [0:0] IS_C_INVERTED = 1'b0;
2178 parameter [0:0] IS_D_INVERTED = 1'b0;
2179 parameter SRTYPE = "SYNC";
2180 parameter MSGON = "TRUE";
2181 parameter XON = "TRUE";
2182 output Q1;
2183 output Q2;
2184 (* clkbuf_sink *)
2185 input C;
2186 input CE;
2187 input D;
2188 input R;
2189 input S;
2190 endmodule
2191
2192 module IDDR_2CLK (...);
2193 parameter DDR_CLK_EDGE = "OPPOSITE_EDGE";
2194 parameter INIT_Q1 = 1'b0;
2195 parameter INIT_Q2 = 1'b0;
2196 parameter [0:0] IS_CB_INVERTED = 1'b0;
2197 parameter [0:0] IS_C_INVERTED = 1'b0;
2198 parameter [0:0] IS_D_INVERTED = 1'b0;
2199 parameter SRTYPE = "SYNC";
2200 output Q1;
2201 output Q2;
2202 (* clkbuf_sink *)
2203 input C;
2204 (* clkbuf_sink *)
2205 input CB;
2206 input CE;
2207 input D;
2208 input R;
2209 input S;
2210 endmodule
2211
2212 (* keep *)
2213 module IDELAYCTRL (...);
2214 parameter SIM_DEVICE = "7SERIES";
2215 output RDY;
2216 (* clkbuf_sink *)
2217 input REFCLK;
2218 input RST;
2219 endmodule
2220
2221 module IDELAYE2 (...);
2222 parameter CINVCTRL_SEL = "FALSE";
2223 parameter DELAY_SRC = "IDATAIN";
2224 parameter HIGH_PERFORMANCE_MODE = "FALSE";
2225 parameter IDELAY_TYPE = "FIXED";
2226 parameter integer IDELAY_VALUE = 0;
2227 parameter [0:0] IS_C_INVERTED = 1'b0;
2228 parameter [0:0] IS_DATAIN_INVERTED = 1'b0;
2229 parameter [0:0] IS_IDATAIN_INVERTED = 1'b0;
2230 parameter PIPE_SEL = "FALSE";
2231 parameter real REFCLK_FREQUENCY = 200.0;
2232 parameter SIGNAL_PATTERN = "DATA";
2233 parameter integer SIM_DELAY_D = 0;
2234 output [4:0] CNTVALUEOUT;
2235 output DATAOUT;
2236 (* clkbuf_sink *)
2237 input C;
2238 input CE;
2239 input CINVCTRL;
2240 input [4:0] CNTVALUEIN;
2241 input DATAIN;
2242 input IDATAIN;
2243 input INC;
2244 input LD;
2245 input LDPIPEEN;
2246 input REGRST;
2247 endmodule
2248
2249 module IN_FIFO (...);
2250 parameter integer ALMOST_EMPTY_VALUE = 1;
2251 parameter integer ALMOST_FULL_VALUE = 1;
2252 parameter ARRAY_MODE = "ARRAY_MODE_4_X_8";
2253 parameter SYNCHRONOUS_MODE = "FALSE";
2254 output ALMOSTEMPTY;
2255 output ALMOSTFULL;
2256 output EMPTY;
2257 output FULL;
2258 output [7:0] Q0;
2259 output [7:0] Q1;
2260 output [7:0] Q2;
2261 output [7:0] Q3;
2262 output [7:0] Q4;
2263 output [7:0] Q5;
2264 output [7:0] Q6;
2265 output [7:0] Q7;
2266 output [7:0] Q8;
2267 output [7:0] Q9;
2268 (* clkbuf_sink *)
2269 input RDCLK;
2270 input RDEN;
2271 input RESET;
2272 (* clkbuf_sink *)
2273 input WRCLK;
2274 input WREN;
2275 input [3:0] D0;
2276 input [3:0] D1;
2277 input [3:0] D2;
2278 input [3:0] D3;
2279 input [3:0] D4;
2280 input [3:0] D7;
2281 input [3:0] D8;
2282 input [3:0] D9;
2283 input [7:0] D5;
2284 input [7:0] D6;
2285 endmodule
2286
2287 module IOBUF (...);
2288 parameter integer DRIVE = 12;
2289 parameter IBUF_LOW_PWR = "TRUE";
2290 parameter IOSTANDARD = "DEFAULT";
2291 parameter SLEW = "SLOW";
2292 output O;
2293 (* iopad_external_pin *)
2294 inout IO;
2295 input I;
2296 input T;
2297 endmodule
2298
2299 module IOBUF_DCIEN (...);
2300 parameter integer DRIVE = 12;
2301 parameter IBUF_LOW_PWR = "TRUE";
2302 parameter IOSTANDARD = "DEFAULT";
2303 parameter SIM_DEVICE = "7SERIES";
2304 parameter SLEW = "SLOW";
2305 parameter USE_IBUFDISABLE = "TRUE";
2306 output O;
2307 (* iopad_external_pin *)
2308 inout IO;
2309 input DCITERMDISABLE;
2310 input I;
2311 input IBUFDISABLE;
2312 input T;
2313 endmodule
2314
2315 module IOBUF_INTERMDISABLE (...);
2316 parameter integer DRIVE = 12;
2317 parameter IBUF_LOW_PWR = "TRUE";
2318 parameter IOSTANDARD = "DEFAULT";
2319 parameter SIM_DEVICE = "7SERIES";
2320 parameter SLEW = "SLOW";
2321 parameter USE_IBUFDISABLE = "TRUE";
2322 output O;
2323 (* iopad_external_pin *)
2324 inout IO;
2325 input I;
2326 input IBUFDISABLE;
2327 input INTERMDISABLE;
2328 input T;
2329 endmodule
2330
2331 module IOBUFDS (...);
2332 parameter DIFF_TERM = "FALSE";
2333 parameter DQS_BIAS = "FALSE";
2334 parameter IBUF_LOW_PWR = "TRUE";
2335 parameter IOSTANDARD = "DEFAULT";
2336 parameter SLEW = "SLOW";
2337 output O;
2338 (* iopad_external_pin *)
2339 inout IO;
2340 inout IOB;
2341 input I;
2342 input T;
2343 endmodule
2344
2345 module IOBUFDS_DCIEN (...);
2346 parameter DIFF_TERM = "FALSE";
2347 parameter DQS_BIAS = "FALSE";
2348 parameter IBUF_LOW_PWR = "TRUE";
2349 parameter IOSTANDARD = "DEFAULT";
2350 parameter SIM_DEVICE = "7SERIES";
2351 parameter SLEW = "SLOW";
2352 parameter USE_IBUFDISABLE = "TRUE";
2353 output O;
2354 (* iopad_external_pin *)
2355 inout IO;
2356 (* iopad_external_pin *)
2357 inout IOB;
2358 input DCITERMDISABLE;
2359 input I;
2360 input IBUFDISABLE;
2361 input T;
2362 endmodule
2363
2364 module IOBUFDS_DIFF_OUT (...);
2365 parameter DIFF_TERM = "FALSE";
2366 parameter DQS_BIAS = "FALSE";
2367 parameter IBUF_LOW_PWR = "TRUE";
2368 parameter IOSTANDARD = "DEFAULT";
2369 output O;
2370 output OB;
2371 (* iopad_external_pin *)
2372 inout IO;
2373 (* iopad_external_pin *)
2374 inout IOB;
2375 input I;
2376 input TM;
2377 input TS;
2378 endmodule
2379
2380 module IOBUFDS_DIFF_OUT_DCIEN (...);
2381 parameter DIFF_TERM = "FALSE";
2382 parameter DQS_BIAS = "FALSE";
2383 parameter IBUF_LOW_PWR = "TRUE";
2384 parameter IOSTANDARD = "DEFAULT";
2385 parameter SIM_DEVICE = "7SERIES";
2386 parameter USE_IBUFDISABLE = "TRUE";
2387 output O;
2388 output OB;
2389 (* iopad_external_pin *)
2390 inout IO;
2391 (* iopad_external_pin *)
2392 inout IOB;
2393 input DCITERMDISABLE;
2394 input I;
2395 input IBUFDISABLE;
2396 input TM;
2397 input TS;
2398 endmodule
2399
2400 module IOBUFDS_DIFF_OUT_INTERMDISABLE (...);
2401 parameter DIFF_TERM = "FALSE";
2402 parameter DQS_BIAS = "FALSE";
2403 parameter IBUF_LOW_PWR = "TRUE";
2404 parameter IOSTANDARD = "DEFAULT";
2405 parameter SIM_DEVICE = "7SERIES";
2406 parameter USE_IBUFDISABLE = "TRUE";
2407 output O;
2408 output OB;
2409 (* iopad_external_pin *)
2410 inout IO;
2411 (* iopad_external_pin *)
2412 inout IOB;
2413 input I;
2414 input IBUFDISABLE;
2415 input INTERMDISABLE;
2416 input TM;
2417 input TS;
2418 endmodule
2419
2420 module ISERDESE2 (...);
2421 parameter DATA_RATE = "DDR";
2422 parameter integer DATA_WIDTH = 4;
2423 parameter DYN_CLKDIV_INV_EN = "FALSE";
2424 parameter DYN_CLK_INV_EN = "FALSE";
2425 parameter [0:0] INIT_Q1 = 1'b0;
2426 parameter [0:0] INIT_Q2 = 1'b0;
2427 parameter [0:0] INIT_Q3 = 1'b0;
2428 parameter [0:0] INIT_Q4 = 1'b0;
2429 parameter INTERFACE_TYPE = "MEMORY";
2430 parameter IOBDELAY = "NONE";
2431 parameter [0:0] IS_CLKB_INVERTED = 1'b0;
2432 parameter [0:0] IS_CLKDIVP_INVERTED = 1'b0;
2433 parameter [0:0] IS_CLKDIV_INVERTED = 1'b0;
2434 parameter [0:0] IS_CLK_INVERTED = 1'b0;
2435 parameter [0:0] IS_D_INVERTED = 1'b0;
2436 parameter [0:0] IS_OCLKB_INVERTED = 1'b0;
2437 parameter [0:0] IS_OCLK_INVERTED = 1'b0;
2438 parameter integer NUM_CE = 2;
2439 parameter OFB_USED = "FALSE";
2440 parameter SERDES_MODE = "MASTER";
2441 parameter [0:0] SRVAL_Q1 = 1'b0;
2442 parameter [0:0] SRVAL_Q2 = 1'b0;
2443 parameter [0:0] SRVAL_Q3 = 1'b0;
2444 parameter [0:0] SRVAL_Q4 = 1'b0;
2445 output O;
2446 output Q1;
2447 output Q2;
2448 output Q3;
2449 output Q4;
2450 output Q5;
2451 output Q6;
2452 output Q7;
2453 output Q8;
2454 output SHIFTOUT1;
2455 output SHIFTOUT2;
2456 input BITSLIP;
2457 input CE1;
2458 input CE2;
2459 (* clkbuf_sink *)
2460 input CLK;
2461 (* clkbuf_sink *)
2462 input CLKB;
2463 (* clkbuf_sink *)
2464 input CLKDIV;
2465 (* clkbuf_sink *)
2466 input CLKDIVP;
2467 input D;
2468 input DDLY;
2469 input DYNCLKDIVSEL;
2470 input DYNCLKSEL;
2471 (* clkbuf_sink *)
2472 input OCLK;
2473 (* clkbuf_sink *)
2474 input OCLKB;
2475 input OFB;
2476 input RST;
2477 input SHIFTIN1;
2478 input SHIFTIN2;
2479 endmodule
2480
2481 module KEEPER (...);
2482 inout O;
2483 endmodule
2484
2485 module LDCE (...);
2486 parameter [0:0] INIT = 1'b0;
2487 parameter [0:0] IS_CLR_INVERTED = 1'b0;
2488 parameter [0:0] IS_G_INVERTED = 1'b0;
2489 parameter MSGON = "TRUE";
2490 parameter XON = "TRUE";
2491 output Q;
2492 input CLR;
2493 input D;
2494 input G;
2495 input GE;
2496 endmodule
2497
2498 module LDPE (...);
2499 parameter [0:0] INIT = 1'b1;
2500 parameter [0:0] IS_G_INVERTED = 1'b0;
2501 parameter [0:0] IS_PRE_INVERTED = 1'b0;
2502 parameter MSGON = "TRUE";
2503 parameter XON = "TRUE";
2504 output Q;
2505 input D;
2506 input G;
2507 input GE;
2508 input PRE;
2509 endmodule
2510
2511 module MMCME2_ADV (...);
2512 parameter BANDWIDTH = "OPTIMIZED";
2513 parameter real CLKFBOUT_MULT_F = 5.000;
2514 parameter real CLKFBOUT_PHASE = 0.000;
2515 parameter CLKFBOUT_USE_FINE_PS = "FALSE";
2516 parameter real CLKIN1_PERIOD = 0.000;
2517 parameter real CLKIN2_PERIOD = 0.000;
2518 parameter real CLKIN_FREQ_MAX = 1066.000;
2519 parameter real CLKIN_FREQ_MIN = 10.000;
2520 parameter real CLKOUT0_DIVIDE_F = 1.000;
2521 parameter real CLKOUT0_DUTY_CYCLE = 0.500;
2522 parameter real CLKOUT0_PHASE = 0.000;
2523 parameter CLKOUT0_USE_FINE_PS = "FALSE";
2524 parameter integer CLKOUT1_DIVIDE = 1;
2525 parameter real CLKOUT1_DUTY_CYCLE = 0.500;
2526 parameter real CLKOUT1_PHASE = 0.000;
2527 parameter CLKOUT1_USE_FINE_PS = "FALSE";
2528 parameter integer CLKOUT2_DIVIDE = 1;
2529 parameter real CLKOUT2_DUTY_CYCLE = 0.500;
2530 parameter real CLKOUT2_PHASE = 0.000;
2531 parameter CLKOUT2_USE_FINE_PS = "FALSE";
2532 parameter integer CLKOUT3_DIVIDE = 1;
2533 parameter real CLKOUT3_DUTY_CYCLE = 0.500;
2534 parameter real CLKOUT3_PHASE = 0.000;
2535 parameter CLKOUT3_USE_FINE_PS = "FALSE";
2536 parameter CLKOUT4_CASCADE = "FALSE";
2537 parameter integer CLKOUT4_DIVIDE = 1;
2538 parameter real CLKOUT4_DUTY_CYCLE = 0.500;
2539 parameter real CLKOUT4_PHASE = 0.000;
2540 parameter CLKOUT4_USE_FINE_PS = "FALSE";
2541 parameter integer CLKOUT5_DIVIDE = 1;
2542 parameter real CLKOUT5_DUTY_CYCLE = 0.500;
2543 parameter real CLKOUT5_PHASE = 0.000;
2544 parameter CLKOUT5_USE_FINE_PS = "FALSE";
2545 parameter integer CLKOUT6_DIVIDE = 1;
2546 parameter real CLKOUT6_DUTY_CYCLE = 0.500;
2547 parameter real CLKOUT6_PHASE = 0.000;
2548 parameter CLKOUT6_USE_FINE_PS = "FALSE";
2549 parameter real CLKPFD_FREQ_MAX = 550.000;
2550 parameter real CLKPFD_FREQ_MIN = 10.000;
2551 parameter COMPENSATION = "ZHOLD";
2552 parameter integer DIVCLK_DIVIDE = 1;
2553 parameter [0:0] IS_CLKINSEL_INVERTED = 1'b0;
2554 parameter [0:0] IS_PSEN_INVERTED = 1'b0;
2555 parameter [0:0] IS_PSINCDEC_INVERTED = 1'b0;
2556 parameter [0:0] IS_PWRDWN_INVERTED = 1'b0;
2557 parameter [0:0] IS_RST_INVERTED = 1'b0;
2558 parameter real REF_JITTER1 = 0.010;
2559 parameter real REF_JITTER2 = 0.010;
2560 parameter SS_EN = "FALSE";
2561 parameter SS_MODE = "CENTER_HIGH";
2562 parameter integer SS_MOD_PERIOD = 10000;
2563 parameter STARTUP_WAIT = "FALSE";
2564 parameter real VCOCLK_FREQ_MAX = 1600.000;
2565 parameter real VCOCLK_FREQ_MIN = 600.000;
2566 parameter STARTUP_WAIT = "FALSE";
2567 output CLKFBOUT;
2568 output CLKFBOUTB;
2569 output CLKFBSTOPPED;
2570 output CLKINSTOPPED;
2571 output CLKOUT0;
2572 output CLKOUT0B;
2573 output CLKOUT1;
2574 output CLKOUT1B;
2575 output CLKOUT2;
2576 output CLKOUT2B;
2577 output CLKOUT3;
2578 output CLKOUT3B;
2579 output CLKOUT4;
2580 output CLKOUT5;
2581 output CLKOUT6;
2582 output [15:0] DO;
2583 output DRDY;
2584 output LOCKED;
2585 output PSDONE;
2586 input CLKFBIN;
2587 input CLKIN1;
2588 input CLKIN2;
2589 input CLKINSEL;
2590 input [6:0] DADDR;
2591 input DCLK;
2592 input DEN;
2593 input [15:0] DI;
2594 input DWE;
2595 input PSCLK;
2596 input PSEN;
2597 input PSINCDEC;
2598 input PWRDWN;
2599 input RST;
2600 endmodule
2601
2602 module MMCME2_BASE (...);
2603 parameter BANDWIDTH = "OPTIMIZED";
2604 parameter real CLKFBOUT_MULT_F = 5.000;
2605 parameter real CLKFBOUT_PHASE = 0.000;
2606 parameter real CLKIN1_PERIOD = 0.000;
2607 parameter real CLKOUT0_DIVIDE_F = 1.000;
2608 parameter real CLKOUT0_DUTY_CYCLE = 0.500;
2609 parameter real CLKOUT0_PHASE = 0.000;
2610 parameter integer CLKOUT1_DIVIDE = 1;
2611 parameter real CLKOUT1_DUTY_CYCLE = 0.500;
2612 parameter real CLKOUT1_PHASE = 0.000;
2613 parameter integer CLKOUT2_DIVIDE = 1;
2614 parameter real CLKOUT2_DUTY_CYCLE = 0.500;
2615 parameter real CLKOUT2_PHASE = 0.000;
2616 parameter integer CLKOUT3_DIVIDE = 1;
2617 parameter real CLKOUT3_DUTY_CYCLE = 0.500;
2618 parameter real CLKOUT3_PHASE = 0.000;
2619 parameter CLKOUT4_CASCADE = "FALSE";
2620 parameter integer CLKOUT4_DIVIDE = 1;
2621 parameter real CLKOUT4_DUTY_CYCLE = 0.500;
2622 parameter real CLKOUT4_PHASE = 0.000;
2623 parameter integer CLKOUT5_DIVIDE = 1;
2624 parameter real CLKOUT5_DUTY_CYCLE = 0.500;
2625 parameter real CLKOUT5_PHASE = 0.000;
2626 parameter integer CLKOUT6_DIVIDE = 1;
2627 parameter real CLKOUT6_DUTY_CYCLE = 0.500;
2628 parameter real CLKOUT6_PHASE = 0.000;
2629 parameter integer DIVCLK_DIVIDE = 1;
2630 parameter real REF_JITTER1 = 0.010;
2631 parameter STARTUP_WAIT = "FALSE";
2632 output CLKFBOUT;
2633 output CLKFBOUTB;
2634 output CLKOUT0;
2635 output CLKOUT0B;
2636 output CLKOUT1;
2637 output CLKOUT1B;
2638 output CLKOUT2;
2639 output CLKOUT2B;
2640 output CLKOUT3;
2641 output CLKOUT3B;
2642 output CLKOUT4;
2643 output CLKOUT5;
2644 output CLKOUT6;
2645 output LOCKED;
2646 input CLKFBIN;
2647 input CLKIN1;
2648 input PWRDWN;
2649 input RST;
2650 endmodule
2651
2652 module OBUFDS (...);
2653 parameter CAPACITANCE = "DONT_CARE";
2654 parameter IOSTANDARD = "DEFAULT";
2655 parameter SLEW = "SLOW";
2656 (* iopad_external_pin *)
2657 output O;
2658 (* iopad_external_pin *)
2659 output OB;
2660 input I;
2661 endmodule
2662
2663 module OBUFT (...);
2664 parameter CAPACITANCE = "DONT_CARE";
2665 parameter integer DRIVE = 12;
2666 parameter IOSTANDARD = "DEFAULT";
2667 parameter SLEW = "SLOW";
2668 (* iopad_external_pin *)
2669 output O;
2670 input I;
2671 input T;
2672 endmodule
2673
2674 module OBUFTDS (...);
2675 parameter CAPACITANCE = "DONT_CARE";
2676 parameter IOSTANDARD = "DEFAULT";
2677 parameter SLEW = "SLOW";
2678 (* iopad_external_pin *)
2679 output O;
2680 (* iopad_external_pin *)
2681 output OB;
2682 input I;
2683 input T;
2684 endmodule
2685
2686 module ODDR (...);
2687 output Q;
2688 (* clkbuf_sink *)
2689 input C;
2690 input CE;
2691 input D1;
2692 input D2;
2693 input R;
2694 input S;
2695 parameter DDR_CLK_EDGE = "OPPOSITE_EDGE";
2696 parameter INIT = 1'b0;
2697 parameter [0:0] IS_C_INVERTED = 1'b0;
2698 parameter [0:0] IS_D1_INVERTED = 1'b0;
2699 parameter [0:0] IS_D2_INVERTED = 1'b0;
2700 parameter SRTYPE = "SYNC";
2701 parameter MSGON = "TRUE";
2702 parameter XON = "TRUE";
2703 endmodule
2704
2705 module ODELAYE2 (...);
2706 parameter CINVCTRL_SEL = "FALSE";
2707 parameter DELAY_SRC = "ODATAIN";
2708 parameter HIGH_PERFORMANCE_MODE = "FALSE";
2709 parameter [0:0] IS_C_INVERTED = 1'b0;
2710 parameter [0:0] IS_ODATAIN_INVERTED = 1'b0;
2711 parameter ODELAY_TYPE = "FIXED";
2712 parameter integer ODELAY_VALUE = 0;
2713 parameter PIPE_SEL = "FALSE";
2714 parameter real REFCLK_FREQUENCY = 200.0;
2715 parameter SIGNAL_PATTERN = "DATA";
2716 parameter integer SIM_DELAY_D = 0;
2717 output [4:0] CNTVALUEOUT;
2718 output DATAOUT;
2719 (* clkbuf_sink *)
2720 input C;
2721 input CE;
2722 input CINVCTRL;
2723 input CLKIN;
2724 input [4:0] CNTVALUEIN;
2725 input INC;
2726 input LD;
2727 input LDPIPEEN;
2728 input ODATAIN;
2729 input REGRST;
2730 endmodule
2731
2732 module OSERDESE2 (...);
2733 parameter DATA_RATE_OQ = "DDR";
2734 parameter DATA_RATE_TQ = "DDR";
2735 parameter integer DATA_WIDTH = 4;
2736 parameter [0:0] INIT_OQ = 1'b0;
2737 parameter [0:0] INIT_TQ = 1'b0;
2738 parameter [0:0] IS_CLKDIV_INVERTED = 1'b0;
2739 parameter [0:0] IS_CLK_INVERTED = 1'b0;
2740 parameter [0:0] IS_D1_INVERTED = 1'b0;
2741 parameter [0:0] IS_D2_INVERTED = 1'b0;
2742 parameter [0:0] IS_D3_INVERTED = 1'b0;
2743 parameter [0:0] IS_D4_INVERTED = 1'b0;
2744 parameter [0:0] IS_D5_INVERTED = 1'b0;
2745 parameter [0:0] IS_D6_INVERTED = 1'b0;
2746 parameter [0:0] IS_D7_INVERTED = 1'b0;
2747 parameter [0:0] IS_D8_INVERTED = 1'b0;
2748 parameter [0:0] IS_T1_INVERTED = 1'b0;
2749 parameter [0:0] IS_T2_INVERTED = 1'b0;
2750 parameter [0:0] IS_T3_INVERTED = 1'b0;
2751 parameter [0:0] IS_T4_INVERTED = 1'b0;
2752 parameter SERDES_MODE = "MASTER";
2753 parameter [0:0] SRVAL_OQ = 1'b0;
2754 parameter [0:0] SRVAL_TQ = 1'b0;
2755 parameter TBYTE_CTL = "FALSE";
2756 parameter TBYTE_SRC = "FALSE";
2757 parameter integer TRISTATE_WIDTH = 4;
2758 output OFB;
2759 output OQ;
2760 output SHIFTOUT1;
2761 output SHIFTOUT2;
2762 output TBYTEOUT;
2763 output TFB;
2764 output TQ;
2765 (* clkbuf_sink *)
2766 input CLK;
2767 (* clkbuf_sink *)
2768 input CLKDIV;
2769 input D1;
2770 input D2;
2771 input D3;
2772 input D4;
2773 input D5;
2774 input D6;
2775 input D7;
2776 input D8;
2777 input OCE;
2778 input RST;
2779 input SHIFTIN1;
2780 input SHIFTIN2;
2781 input T1;
2782 input T2;
2783 input T3;
2784 input T4;
2785 input TBYTEIN;
2786 input TCE;
2787 endmodule
2788
2789 module OUT_FIFO (...);
2790 parameter integer ALMOST_EMPTY_VALUE = 1;
2791 parameter integer ALMOST_FULL_VALUE = 1;
2792 parameter ARRAY_MODE = "ARRAY_MODE_8_X_4";
2793 parameter OUTPUT_DISABLE = "FALSE";
2794 parameter SYNCHRONOUS_MODE = "FALSE";
2795 output ALMOSTEMPTY;
2796 output ALMOSTFULL;
2797 output EMPTY;
2798 output FULL;
2799 output [3:0] Q0;
2800 output [3:0] Q1;
2801 output [3:0] Q2;
2802 output [3:0] Q3;
2803 output [3:0] Q4;
2804 output [3:0] Q7;
2805 output [3:0] Q8;
2806 output [3:0] Q9;
2807 output [7:0] Q5;
2808 output [7:0] Q6;
2809 (* clkbuf_sink *)
2810 input RDCLK;
2811 input RDEN;
2812 input RESET;
2813 (* clkbuf_sink *)
2814 input WRCLK;
2815 input WREN;
2816 input [7:0] D0;
2817 input [7:0] D1;
2818 input [7:0] D2;
2819 input [7:0] D3;
2820 input [7:0] D4;
2821 input [7:0] D5;
2822 input [7:0] D6;
2823 input [7:0] D7;
2824 input [7:0] D8;
2825 input [7:0] D9;
2826 endmodule
2827
2828 module PHASER_IN (...);
2829 parameter integer CLKOUT_DIV = 4;
2830 parameter DQS_BIAS_MODE = "FALSE";
2831 parameter EN_ISERDES_RST = "FALSE";
2832 parameter integer FINE_DELAY = 0;
2833 parameter FREQ_REF_DIV = "NONE";
2834 parameter [0:0] IS_RST_INVERTED = 1'b0;
2835 parameter real MEMREFCLK_PERIOD = 0.000;
2836 parameter OUTPUT_CLK_SRC = "PHASE_REF";
2837 parameter real PHASEREFCLK_PERIOD = 0.000;
2838 parameter real REFCLK_PERIOD = 0.000;
2839 parameter integer SEL_CLK_OFFSET = 5;
2840 parameter SYNC_IN_DIV_RST = "FALSE";
2841 output FINEOVERFLOW;
2842 output ICLK;
2843 output ICLKDIV;
2844 output ISERDESRST;
2845 output RCLK;
2846 output [5:0] COUNTERREADVAL;
2847 input COUNTERLOADEN;
2848 input COUNTERREADEN;
2849 input DIVIDERST;
2850 input EDGEADV;
2851 input FINEENABLE;
2852 input FINEINC;
2853 input FREQREFCLK;
2854 input MEMREFCLK;
2855 input PHASEREFCLK;
2856 input RST;
2857 input SYNCIN;
2858 input SYSCLK;
2859 input [1:0] RANKSEL;
2860 input [5:0] COUNTERLOADVAL;
2861 endmodule
2862
2863 module PHASER_IN_PHY (...);
2864 parameter BURST_MODE = "FALSE";
2865 parameter integer CLKOUT_DIV = 4;
2866 parameter [0:0] DQS_AUTO_RECAL = 1'b1;
2867 parameter DQS_BIAS_MODE = "FALSE";
2868 parameter [2:0] DQS_FIND_PATTERN = 3'b001;
2869 parameter integer FINE_DELAY = 0;
2870 parameter FREQ_REF_DIV = "NONE";
2871 parameter [0:0] IS_RST_INVERTED = 1'b0;
2872 parameter real MEMREFCLK_PERIOD = 0.000;
2873 parameter OUTPUT_CLK_SRC = "PHASE_REF";
2874 parameter real PHASEREFCLK_PERIOD = 0.000;
2875 parameter real REFCLK_PERIOD = 0.000;
2876 parameter integer SEL_CLK_OFFSET = 5;
2877 parameter SYNC_IN_DIV_RST = "FALSE";
2878 parameter WR_CYCLES = "FALSE";
2879 output DQSFOUND;
2880 output DQSOUTOFRANGE;
2881 output FINEOVERFLOW;
2882 output ICLK;
2883 output ICLKDIV;
2884 output ISERDESRST;
2885 output PHASELOCKED;
2886 output RCLK;
2887 output WRENABLE;
2888 output [5:0] COUNTERREADVAL;
2889 input BURSTPENDINGPHY;
2890 input COUNTERLOADEN;
2891 input COUNTERREADEN;
2892 input FINEENABLE;
2893 input FINEINC;
2894 input FREQREFCLK;
2895 input MEMREFCLK;
2896 input PHASEREFCLK;
2897 input RST;
2898 input RSTDQSFIND;
2899 input SYNCIN;
2900 input SYSCLK;
2901 input [1:0] ENCALIBPHY;
2902 input [1:0] RANKSELPHY;
2903 input [5:0] COUNTERLOADVAL;
2904 endmodule
2905
2906 module PHASER_OUT (...);
2907 parameter integer CLKOUT_DIV = 4;
2908 parameter COARSE_BYPASS = "FALSE";
2909 parameter integer COARSE_DELAY = 0;
2910 parameter EN_OSERDES_RST = "FALSE";
2911 parameter integer FINE_DELAY = 0;
2912 parameter [0:0] IS_RST_INVERTED = 1'b0;
2913 parameter real MEMREFCLK_PERIOD = 0.000;
2914 parameter OCLKDELAY_INV = "FALSE";
2915 parameter integer OCLK_DELAY = 0;
2916 parameter OUTPUT_CLK_SRC = "PHASE_REF";
2917 parameter real PHASEREFCLK_PERIOD = 0.000;
2918 parameter [2:0] PO = 3'b000;
2919 parameter real REFCLK_PERIOD = 0.000;
2920 parameter SYNC_IN_DIV_RST = "FALSE";
2921 output COARSEOVERFLOW;
2922 output FINEOVERFLOW;
2923 output OCLK;
2924 output OCLKDELAYED;
2925 output OCLKDIV;
2926 output OSERDESRST;
2927 output [8:0] COUNTERREADVAL;
2928 input COARSEENABLE;
2929 input COARSEINC;
2930 input COUNTERLOADEN;
2931 input COUNTERREADEN;
2932 input DIVIDERST;
2933 input EDGEADV;
2934 input FINEENABLE;
2935 input FINEINC;
2936 input FREQREFCLK;
2937 input MEMREFCLK;
2938 input PHASEREFCLK;
2939 input RST;
2940 input SELFINEOCLKDELAY;
2941 input SYNCIN;
2942 input SYSCLK;
2943 input [8:0] COUNTERLOADVAL;
2944 endmodule
2945
2946 module PHASER_OUT_PHY (...);
2947 parameter integer CLKOUT_DIV = 4;
2948 parameter COARSE_BYPASS = "FALSE";
2949 parameter integer COARSE_DELAY = 0;
2950 parameter DATA_CTL_N = "FALSE";
2951 parameter DATA_RD_CYCLES = "FALSE";
2952 parameter integer FINE_DELAY = 0;
2953 parameter [0:0] IS_RST_INVERTED = 1'b0;
2954 parameter real MEMREFCLK_PERIOD = 0.000;
2955 parameter OCLKDELAY_INV = "FALSE";
2956 parameter integer OCLK_DELAY = 0;
2957 parameter OUTPUT_CLK_SRC = "PHASE_REF";
2958 parameter real PHASEREFCLK_PERIOD = 0.000;
2959 parameter [2:0] PO = 3'b000;
2960 parameter real REFCLK_PERIOD = 0.000;
2961 parameter SYNC_IN_DIV_RST = "FALSE";
2962 output COARSEOVERFLOW;
2963 output FINEOVERFLOW;
2964 output OCLK;
2965 output OCLKDELAYED;
2966 output OCLKDIV;
2967 output OSERDESRST;
2968 output RDENABLE;
2969 output [1:0] CTSBUS;
2970 output [1:0] DQSBUS;
2971 output [1:0] DTSBUS;
2972 output [8:0] COUNTERREADVAL;
2973 input BURSTPENDINGPHY;
2974 input COARSEENABLE;
2975 input COARSEINC;
2976 input COUNTERLOADEN;
2977 input COUNTERREADEN;
2978 input FINEENABLE;
2979 input FINEINC;
2980 input FREQREFCLK;
2981 input MEMREFCLK;
2982 input PHASEREFCLK;
2983 input RST;
2984 input SELFINEOCLKDELAY;
2985 input SYNCIN;
2986 input SYSCLK;
2987 input [1:0] ENCALIBPHY;
2988 input [8:0] COUNTERLOADVAL;
2989 endmodule
2990
2991 module PHASER_REF (...);
2992 parameter [0:0] IS_RST_INVERTED = 1'b0;
2993 parameter [0:0] IS_PWRDWN_INVERTED = 1'b0;
2994 output LOCKED;
2995 input CLKIN;
2996 input PWRDWN;
2997 input RST;
2998 endmodule
2999
3000 module PHY_CONTROL (...);
3001 parameter integer AO_TOGGLE = 0;
3002 parameter [3:0] AO_WRLVL_EN = 4'b0000;
3003 parameter BURST_MODE = "FALSE";
3004 parameter integer CLK_RATIO = 1;
3005 parameter integer CMD_OFFSET = 0;
3006 parameter integer CO_DURATION = 0;
3007 parameter DATA_CTL_A_N = "FALSE";
3008 parameter DATA_CTL_B_N = "FALSE";
3009 parameter DATA_CTL_C_N = "FALSE";
3010 parameter DATA_CTL_D_N = "FALSE";
3011 parameter DISABLE_SEQ_MATCH = "TRUE";
3012 parameter integer DI_DURATION = 0;
3013 parameter integer DO_DURATION = 0;
3014 parameter integer EVENTS_DELAY = 63;
3015 parameter integer FOUR_WINDOW_CLOCKS = 63;
3016 parameter MULTI_REGION = "FALSE";
3017 parameter PHY_COUNT_ENABLE = "FALSE";
3018 parameter integer RD_CMD_OFFSET_0 = 0;
3019 parameter integer RD_CMD_OFFSET_1 = 00;
3020 parameter integer RD_CMD_OFFSET_2 = 0;
3021 parameter integer RD_CMD_OFFSET_3 = 0;
3022 parameter integer RD_DURATION_0 = 0;
3023 parameter integer RD_DURATION_1 = 0;
3024 parameter integer RD_DURATION_2 = 0;
3025 parameter integer RD_DURATION_3 = 0;
3026 parameter SYNC_MODE = "FALSE";
3027 parameter integer WR_CMD_OFFSET_0 = 0;
3028 parameter integer WR_CMD_OFFSET_1 = 0;
3029 parameter integer WR_CMD_OFFSET_2 = 0;
3030 parameter integer WR_CMD_OFFSET_3 = 0;
3031 parameter integer WR_DURATION_0 = 0;
3032 parameter integer WR_DURATION_1 = 0;
3033 parameter integer WR_DURATION_2 = 0;
3034 parameter integer WR_DURATION_3 = 0;
3035 output PHYCTLALMOSTFULL;
3036 output PHYCTLEMPTY;
3037 output PHYCTLFULL;
3038 output PHYCTLREADY;
3039 output [1:0] INRANKA;
3040 output [1:0] INRANKB;
3041 output [1:0] INRANKC;
3042 output [1:0] INRANKD;
3043 output [1:0] PCENABLECALIB;
3044 output [3:0] AUXOUTPUT;
3045 output [3:0] INBURSTPENDING;
3046 output [3:0] OUTBURSTPENDING;
3047 input MEMREFCLK;
3048 input PHYCLK;
3049 input PHYCTLMSTREMPTY;
3050 input PHYCTLWRENABLE;
3051 input PLLLOCK;
3052 input READCALIBENABLE;
3053 input REFDLLLOCK;
3054 input RESET;
3055 input SYNCIN;
3056 input WRITECALIBENABLE;
3057 input [31:0] PHYCTLWD;
3058 endmodule
3059
3060 module PLLE2_ADV (...);
3061 parameter BANDWIDTH = "OPTIMIZED";
3062 parameter COMPENSATION = "ZHOLD";
3063 parameter STARTUP_WAIT = "FALSE";
3064 parameter integer CLKOUT0_DIVIDE = 1;
3065 parameter integer CLKOUT1_DIVIDE = 1;
3066 parameter integer CLKOUT2_DIVIDE = 1;
3067 parameter integer CLKOUT3_DIVIDE = 1;
3068 parameter integer CLKOUT4_DIVIDE = 1;
3069 parameter integer CLKOUT5_DIVIDE = 1;
3070 parameter integer DIVCLK_DIVIDE = 1;
3071 parameter integer CLKFBOUT_MULT = 5;
3072 parameter real CLKFBOUT_PHASE = 0.000;
3073 parameter real CLKIN1_PERIOD = 0.000;
3074 parameter real CLKIN2_PERIOD = 0.000;
3075 parameter real CLKOUT0_DUTY_CYCLE = 0.500;
3076 parameter real CLKOUT0_PHASE = 0.000;
3077 parameter real CLKOUT1_DUTY_CYCLE = 0.500;
3078 parameter real CLKOUT1_PHASE = 0.000;
3079 parameter real CLKOUT2_DUTY_CYCLE = 0.500;
3080 parameter real CLKOUT2_PHASE = 0.000;
3081 parameter real CLKOUT3_DUTY_CYCLE = 0.500;
3082 parameter real CLKOUT3_PHASE = 0.000;
3083 parameter real CLKOUT4_DUTY_CYCLE = 0.500;
3084 parameter real CLKOUT4_PHASE = 0.000;
3085 parameter real CLKOUT5_DUTY_CYCLE = 0.500;
3086 parameter real CLKOUT5_PHASE = 0.000;
3087 parameter [0:0] IS_CLKINSEL_INVERTED = 1'b0;
3088 parameter [0:0] IS_PWRDWN_INVERTED = 1'b0;
3089 parameter [0:0] IS_RST_INVERTED = 1'b0;
3090 parameter real REF_JITTER1 = 0.010;
3091 parameter real REF_JITTER2 = 0.010;
3092 parameter real VCOCLK_FREQ_MAX = 2133.000;
3093 parameter real VCOCLK_FREQ_MIN = 800.000;
3094 parameter real CLKIN_FREQ_MAX = 1066.000;
3095 parameter real CLKIN_FREQ_MIN = 19.000;
3096 parameter real CLKPFD_FREQ_MAX = 550.0;
3097 parameter real CLKPFD_FREQ_MIN = 19.0;
3098 output CLKFBOUT;
3099 output CLKOUT0;
3100 output CLKOUT1;
3101 output CLKOUT2;
3102 output CLKOUT3;
3103 output CLKOUT4;
3104 output CLKOUT5;
3105 output DRDY;
3106 output LOCKED;
3107 output [15:0] DO;
3108 input CLKFBIN;
3109 input CLKIN1;
3110 input CLKIN2;
3111 input CLKINSEL;
3112 input DCLK;
3113 input DEN;
3114 input DWE;
3115 input PWRDWN;
3116 input RST;
3117 input [15:0] DI;
3118 input [6:0] DADDR;
3119 endmodule
3120
3121 module PLLE2_BASE (...);
3122 parameter BANDWIDTH = "OPTIMIZED";
3123 parameter integer CLKFBOUT_MULT = 5;
3124 parameter real CLKFBOUT_PHASE = 0.000;
3125 parameter real CLKIN1_PERIOD = 0.000;
3126 parameter integer CLKOUT0_DIVIDE = 1;
3127 parameter real CLKOUT0_DUTY_CYCLE = 0.500;
3128 parameter real CLKOUT0_PHASE = 0.000;
3129 parameter integer CLKOUT1_DIVIDE = 1;
3130 parameter real CLKOUT1_DUTY_CYCLE = 0.500;
3131 parameter real CLKOUT1_PHASE = 0.000;
3132 parameter integer CLKOUT2_DIVIDE = 1;
3133 parameter real CLKOUT2_DUTY_CYCLE = 0.500;
3134 parameter real CLKOUT2_PHASE = 0.000;
3135 parameter integer CLKOUT3_DIVIDE = 1;
3136 parameter real CLKOUT3_DUTY_CYCLE = 0.500;
3137 parameter real CLKOUT3_PHASE = 0.000;
3138 parameter integer CLKOUT4_DIVIDE = 1;
3139 parameter real CLKOUT4_DUTY_CYCLE = 0.500;
3140 parameter real CLKOUT4_PHASE = 0.000;
3141 parameter integer CLKOUT5_DIVIDE = 1;
3142 parameter real CLKOUT5_DUTY_CYCLE = 0.500;
3143 parameter real CLKOUT5_PHASE = 0.000;
3144 parameter integer DIVCLK_DIVIDE = 1;
3145 parameter real REF_JITTER1 = 0.010;
3146 parameter STARTUP_WAIT = "FALSE";
3147 output CLKFBOUT;
3148 output CLKOUT0;
3149 output CLKOUT1;
3150 output CLKOUT2;
3151 output CLKOUT3;
3152 output CLKOUT4;
3153 output CLKOUT5;
3154 output LOCKED;
3155 input CLKFBIN;
3156 input CLKIN1;
3157 input PWRDWN;
3158 input RST;
3159 endmodule
3160
3161 (* keep *)
3162 module PS7 (...);
3163 output DMA0DAVALID;
3164 output DMA0DRREADY;
3165 output DMA0RSTN;
3166 output DMA1DAVALID;
3167 output DMA1DRREADY;
3168 output DMA1RSTN;
3169 output DMA2DAVALID;
3170 output DMA2DRREADY;
3171 output DMA2RSTN;
3172 output DMA3DAVALID;
3173 output DMA3DRREADY;
3174 output DMA3RSTN;
3175 output EMIOCAN0PHYTX;
3176 output EMIOCAN1PHYTX;
3177 output EMIOENET0GMIITXEN;
3178 output EMIOENET0GMIITXER;
3179 output EMIOENET0MDIOMDC;
3180 output EMIOENET0MDIOO;
3181 output EMIOENET0MDIOTN;
3182 output EMIOENET0PTPDELAYREQRX;
3183 output EMIOENET0PTPDELAYREQTX;
3184 output EMIOENET0PTPPDELAYREQRX;
3185 output EMIOENET0PTPPDELAYREQTX;
3186 output EMIOENET0PTPPDELAYRESPRX;
3187 output EMIOENET0PTPPDELAYRESPTX;
3188 output EMIOENET0PTPSYNCFRAMERX;
3189 output EMIOENET0PTPSYNCFRAMETX;
3190 output EMIOENET0SOFRX;
3191 output EMIOENET0SOFTX;
3192 output EMIOENET1GMIITXEN;
3193 output EMIOENET1GMIITXER;
3194 output EMIOENET1MDIOMDC;
3195 output EMIOENET1MDIOO;
3196 output EMIOENET1MDIOTN;
3197 output EMIOENET1PTPDELAYREQRX;
3198 output EMIOENET1PTPDELAYREQTX;
3199 output EMIOENET1PTPPDELAYREQRX;
3200 output EMIOENET1PTPPDELAYREQTX;
3201 output EMIOENET1PTPPDELAYRESPRX;
3202 output EMIOENET1PTPPDELAYRESPTX;
3203 output EMIOENET1PTPSYNCFRAMERX;
3204 output EMIOENET1PTPSYNCFRAMETX;
3205 output EMIOENET1SOFRX;
3206 output EMIOENET1SOFTX;
3207 output EMIOI2C0SCLO;
3208 output EMIOI2C0SCLTN;
3209 output EMIOI2C0SDAO;
3210 output EMIOI2C0SDATN;
3211 output EMIOI2C1SCLO;
3212 output EMIOI2C1SCLTN;
3213 output EMIOI2C1SDAO;
3214 output EMIOI2C1SDATN;
3215 output EMIOPJTAGTDO;
3216 output EMIOPJTAGTDTN;
3217 output EMIOSDIO0BUSPOW;
3218 output EMIOSDIO0CLK;
3219 output EMIOSDIO0CMDO;
3220 output EMIOSDIO0CMDTN;
3221 output EMIOSDIO0LED;
3222 output EMIOSDIO1BUSPOW;
3223 output EMIOSDIO1CLK;
3224 output EMIOSDIO1CMDO;
3225 output EMIOSDIO1CMDTN;
3226 output EMIOSDIO1LED;
3227 output EMIOSPI0MO;
3228 output EMIOSPI0MOTN;
3229 output EMIOSPI0SCLKO;
3230 output EMIOSPI0SCLKTN;
3231 output EMIOSPI0SO;
3232 output EMIOSPI0SSNTN;
3233 output EMIOSPI0STN;
3234 output EMIOSPI1MO;
3235 output EMIOSPI1MOTN;
3236 output EMIOSPI1SCLKO;
3237 output EMIOSPI1SCLKTN;
3238 output EMIOSPI1SO;
3239 output EMIOSPI1SSNTN;
3240 output EMIOSPI1STN;
3241 output EMIOTRACECTL;
3242 output EMIOUART0DTRN;
3243 output EMIOUART0RTSN;
3244 output EMIOUART0TX;
3245 output EMIOUART1DTRN;
3246 output EMIOUART1RTSN;
3247 output EMIOUART1TX;
3248 output EMIOUSB0VBUSPWRSELECT;
3249 output EMIOUSB1VBUSPWRSELECT;
3250 output EMIOWDTRSTO;
3251 output EVENTEVENTO;
3252 output MAXIGP0ARESETN;
3253 output MAXIGP0ARVALID;
3254 output MAXIGP0AWVALID;
3255 output MAXIGP0BREADY;
3256 output MAXIGP0RREADY;
3257 output MAXIGP0WLAST;
3258 output MAXIGP0WVALID;
3259 output MAXIGP1ARESETN;
3260 output MAXIGP1ARVALID;
3261 output MAXIGP1AWVALID;
3262 output MAXIGP1BREADY;
3263 output MAXIGP1RREADY;
3264 output MAXIGP1WLAST;
3265 output MAXIGP1WVALID;
3266 output SAXIACPARESETN;
3267 output SAXIACPARREADY;
3268 output SAXIACPAWREADY;
3269 output SAXIACPBVALID;
3270 output SAXIACPRLAST;
3271 output SAXIACPRVALID;
3272 output SAXIACPWREADY;
3273 output SAXIGP0ARESETN;
3274 output SAXIGP0ARREADY;
3275 output SAXIGP0AWREADY;
3276 output SAXIGP0BVALID;
3277 output SAXIGP0RLAST;
3278 output SAXIGP0RVALID;
3279 output SAXIGP0WREADY;
3280 output SAXIGP1ARESETN;
3281 output SAXIGP1ARREADY;
3282 output SAXIGP1AWREADY;
3283 output SAXIGP1BVALID;
3284 output SAXIGP1RLAST;
3285 output SAXIGP1RVALID;
3286 output SAXIGP1WREADY;
3287 output SAXIHP0ARESETN;
3288 output SAXIHP0ARREADY;
3289 output SAXIHP0AWREADY;
3290 output SAXIHP0BVALID;
3291 output SAXIHP0RLAST;
3292 output SAXIHP0RVALID;
3293 output SAXIHP0WREADY;
3294 output SAXIHP1ARESETN;
3295 output SAXIHP1ARREADY;
3296 output SAXIHP1AWREADY;
3297 output SAXIHP1BVALID;
3298 output SAXIHP1RLAST;
3299 output SAXIHP1RVALID;
3300 output SAXIHP1WREADY;
3301 output SAXIHP2ARESETN;
3302 output SAXIHP2ARREADY;
3303 output SAXIHP2AWREADY;
3304 output SAXIHP2BVALID;
3305 output SAXIHP2RLAST;
3306 output SAXIHP2RVALID;
3307 output SAXIHP2WREADY;
3308 output SAXIHP3ARESETN;
3309 output SAXIHP3ARREADY;
3310 output SAXIHP3AWREADY;
3311 output SAXIHP3BVALID;
3312 output SAXIHP3RLAST;
3313 output SAXIHP3RVALID;
3314 output SAXIHP3WREADY;
3315 output [11:0] MAXIGP0ARID;
3316 output [11:0] MAXIGP0AWID;
3317 output [11:0] MAXIGP0WID;
3318 output [11:0] MAXIGP1ARID;
3319 output [11:0] MAXIGP1AWID;
3320 output [11:0] MAXIGP1WID;
3321 output [1:0] DMA0DATYPE;
3322 output [1:0] DMA1DATYPE;
3323 output [1:0] DMA2DATYPE;
3324 output [1:0] DMA3DATYPE;
3325 output [1:0] EMIOUSB0PORTINDCTL;
3326 output [1:0] EMIOUSB1PORTINDCTL;
3327 output [1:0] EVENTSTANDBYWFE;
3328 output [1:0] EVENTSTANDBYWFI;
3329 output [1:0] MAXIGP0ARBURST;
3330 output [1:0] MAXIGP0ARLOCK;
3331 output [1:0] MAXIGP0ARSIZE;
3332 output [1:0] MAXIGP0AWBURST;
3333 output [1:0] MAXIGP0AWLOCK;
3334 output [1:0] MAXIGP0AWSIZE;
3335 output [1:0] MAXIGP1ARBURST;
3336 output [1:0] MAXIGP1ARLOCK;
3337 output [1:0] MAXIGP1ARSIZE;
3338 output [1:0] MAXIGP1AWBURST;
3339 output [1:0] MAXIGP1AWLOCK;
3340 output [1:0] MAXIGP1AWSIZE;
3341 output [1:0] SAXIACPBRESP;
3342 output [1:0] SAXIACPRRESP;
3343 output [1:0] SAXIGP0BRESP;
3344 output [1:0] SAXIGP0RRESP;
3345 output [1:0] SAXIGP1BRESP;
3346 output [1:0] SAXIGP1RRESP;
3347 output [1:0] SAXIHP0BRESP;
3348 output [1:0] SAXIHP0RRESP;
3349 output [1:0] SAXIHP1BRESP;
3350 output [1:0] SAXIHP1RRESP;
3351 output [1:0] SAXIHP2BRESP;
3352 output [1:0] SAXIHP2RRESP;
3353 output [1:0] SAXIHP3BRESP;
3354 output [1:0] SAXIHP3RRESP;
3355 output [28:0] IRQP2F;
3356 output [2:0] EMIOSDIO0BUSVOLT;
3357 output [2:0] EMIOSDIO1BUSVOLT;
3358 output [2:0] EMIOSPI0SSON;
3359 output [2:0] EMIOSPI1SSON;
3360 output [2:0] EMIOTTC0WAVEO;
3361 output [2:0] EMIOTTC1WAVEO;
3362 output [2:0] MAXIGP0ARPROT;
3363 output [2:0] MAXIGP0AWPROT;
3364 output [2:0] MAXIGP1ARPROT;
3365 output [2:0] MAXIGP1AWPROT;
3366 output [2:0] SAXIACPBID;
3367 output [2:0] SAXIACPRID;
3368 output [2:0] SAXIHP0RACOUNT;
3369 output [2:0] SAXIHP1RACOUNT;
3370 output [2:0] SAXIHP2RACOUNT;
3371 output [2:0] SAXIHP3RACOUNT;
3372 output [31:0] EMIOTRACEDATA;
3373 output [31:0] FTMTP2FDEBUG;
3374 output [31:0] MAXIGP0ARADDR;
3375 output [31:0] MAXIGP0AWADDR;
3376 output [31:0] MAXIGP0WDATA;
3377 output [31:0] MAXIGP1ARADDR;
3378 output [31:0] MAXIGP1AWADDR;
3379 output [31:0] MAXIGP1WDATA;
3380 output [31:0] SAXIGP0RDATA;
3381 output [31:0] SAXIGP1RDATA;
3382 output [3:0] EMIOSDIO0DATAO;
3383 output [3:0] EMIOSDIO0DATATN;
3384 output [3:0] EMIOSDIO1DATAO;
3385 output [3:0] EMIOSDIO1DATATN;
3386 output [3:0] FCLKCLK;
3387 output [3:0] FCLKRESETN;
3388 output [3:0] FTMTF2PTRIGACK;
3389 output [3:0] FTMTP2FTRIG;
3390 output [3:0] MAXIGP0ARCACHE;
3391 output [3:0] MAXIGP0ARLEN;
3392 output [3:0] MAXIGP0ARQOS;
3393 output [3:0] MAXIGP0AWCACHE;
3394 output [3:0] MAXIGP0AWLEN;
3395 output [3:0] MAXIGP0AWQOS;
3396 output [3:0] MAXIGP0WSTRB;
3397 output [3:0] MAXIGP1ARCACHE;
3398 output [3:0] MAXIGP1ARLEN;
3399 output [3:0] MAXIGP1ARQOS;
3400 output [3:0] MAXIGP1AWCACHE;
3401 output [3:0] MAXIGP1AWLEN;
3402 output [3:0] MAXIGP1AWQOS;
3403 output [3:0] MAXIGP1WSTRB;
3404 output [5:0] SAXIGP0BID;
3405 output [5:0] SAXIGP0RID;
3406 output [5:0] SAXIGP1BID;
3407 output [5:0] SAXIGP1RID;
3408 output [5:0] SAXIHP0BID;
3409 output [5:0] SAXIHP0RID;
3410 output [5:0] SAXIHP0WACOUNT;
3411 output [5:0] SAXIHP1BID;
3412 output [5:0] SAXIHP1RID;
3413 output [5:0] SAXIHP1WACOUNT;
3414 output [5:0] SAXIHP2BID;
3415 output [5:0] SAXIHP2RID;
3416 output [5:0] SAXIHP2WACOUNT;
3417 output [5:0] SAXIHP3BID;
3418 output [5:0] SAXIHP3RID;
3419 output [5:0] SAXIHP3WACOUNT;
3420 output [63:0] EMIOGPIOO;
3421 output [63:0] EMIOGPIOTN;
3422 output [63:0] SAXIACPRDATA;
3423 output [63:0] SAXIHP0RDATA;
3424 output [63:0] SAXIHP1RDATA;
3425 output [63:0] SAXIHP2RDATA;
3426 output [63:0] SAXIHP3RDATA;
3427 output [7:0] EMIOENET0GMIITXD;
3428 output [7:0] EMIOENET1GMIITXD;
3429 output [7:0] SAXIHP0RCOUNT;
3430 output [7:0] SAXIHP0WCOUNT;
3431 output [7:0] SAXIHP1RCOUNT;
3432 output [7:0] SAXIHP1WCOUNT;
3433 output [7:0] SAXIHP2RCOUNT;
3434 output [7:0] SAXIHP2WCOUNT;
3435 output [7:0] SAXIHP3RCOUNT;
3436 output [7:0] SAXIHP3WCOUNT;
3437 inout DDRCASB;
3438 inout DDRCKE;
3439 inout DDRCKN;
3440 inout DDRCKP;
3441 inout DDRCSB;
3442 inout DDRDRSTB;
3443 inout DDRODT;
3444 inout DDRRASB;
3445 inout DDRVRN;
3446 inout DDRVRP;
3447 inout DDRWEB;
3448 inout PSCLK;
3449 inout PSPORB;
3450 inout PSSRSTB;
3451 inout [14:0] DDRA;
3452 inout [2:0] DDRBA;
3453 inout [31:0] DDRDQ;
3454 inout [3:0] DDRDM;
3455 inout [3:0] DDRDQSN;
3456 inout [3:0] DDRDQSP;
3457 inout [53:0] MIO;
3458 input DMA0ACLK;
3459 input DMA0DAREADY;
3460 input DMA0DRLAST;
3461 input DMA0DRVALID;
3462 input DMA1ACLK;
3463 input DMA1DAREADY;
3464 input DMA1DRLAST;
3465 input DMA1DRVALID;
3466 input DMA2ACLK;
3467 input DMA2DAREADY;
3468 input DMA2DRLAST;
3469 input DMA2DRVALID;
3470 input DMA3ACLK;
3471 input DMA3DAREADY;
3472 input DMA3DRLAST;
3473 input DMA3DRVALID;
3474 input EMIOCAN0PHYRX;
3475 input EMIOCAN1PHYRX;
3476 input EMIOENET0EXTINTIN;
3477 input EMIOENET0GMIICOL;
3478 input EMIOENET0GMIICRS;
3479 input EMIOENET0GMIIRXCLK;
3480 input EMIOENET0GMIIRXDV;
3481 input EMIOENET0GMIIRXER;
3482 input EMIOENET0GMIITXCLK;
3483 input EMIOENET0MDIOI;
3484 input EMIOENET1EXTINTIN;
3485 input EMIOENET1GMIICOL;
3486 input EMIOENET1GMIICRS;
3487 input EMIOENET1GMIIRXCLK;
3488 input EMIOENET1GMIIRXDV;
3489 input EMIOENET1GMIIRXER;
3490 input EMIOENET1GMIITXCLK;
3491 input EMIOENET1MDIOI;
3492 input EMIOI2C0SCLI;
3493 input EMIOI2C0SDAI;
3494 input EMIOI2C1SCLI;
3495 input EMIOI2C1SDAI;
3496 input EMIOPJTAGTCK;
3497 input EMIOPJTAGTDI;
3498 input EMIOPJTAGTMS;
3499 input EMIOSDIO0CDN;
3500 input EMIOSDIO0CLKFB;
3501 input EMIOSDIO0CMDI;
3502 input EMIOSDIO0WP;
3503 input EMIOSDIO1CDN;
3504 input EMIOSDIO1CLKFB;
3505 input EMIOSDIO1CMDI;
3506 input EMIOSDIO1WP;
3507 input EMIOSPI0MI;
3508 input EMIOSPI0SCLKI;
3509 input EMIOSPI0SI;
3510 input EMIOSPI0SSIN;
3511 input EMIOSPI1MI;
3512 input EMIOSPI1SCLKI;
3513 input EMIOSPI1SI;
3514 input EMIOSPI1SSIN;
3515 input EMIOSRAMINTIN;
3516 input EMIOTRACECLK;
3517 input EMIOUART0CTSN;
3518 input EMIOUART0DCDN;
3519 input EMIOUART0DSRN;
3520 input EMIOUART0RIN;
3521 input EMIOUART0RX;
3522 input EMIOUART1CTSN;
3523 input EMIOUART1DCDN;
3524 input EMIOUART1DSRN;
3525 input EMIOUART1RIN;
3526 input EMIOUART1RX;
3527 input EMIOUSB0VBUSPWRFAULT;
3528 input EMIOUSB1VBUSPWRFAULT;
3529 input EMIOWDTCLKI;
3530 input EVENTEVENTI;
3531 input FPGAIDLEN;
3532 input FTMDTRACEINCLOCK;
3533 input FTMDTRACEINVALID;
3534 input MAXIGP0ACLK;
3535 input MAXIGP0ARREADY;
3536 input MAXIGP0AWREADY;
3537 input MAXIGP0BVALID;
3538 input MAXIGP0RLAST;
3539 input MAXIGP0RVALID;
3540 input MAXIGP0WREADY;
3541 input MAXIGP1ACLK;
3542 input MAXIGP1ARREADY;
3543 input MAXIGP1AWREADY;
3544 input MAXIGP1BVALID;
3545 input MAXIGP1RLAST;
3546 input MAXIGP1RVALID;
3547 input MAXIGP1WREADY;
3548 input SAXIACPACLK;
3549 input SAXIACPARVALID;
3550 input SAXIACPAWVALID;
3551 input SAXIACPBREADY;
3552 input SAXIACPRREADY;
3553 input SAXIACPWLAST;
3554 input SAXIACPWVALID;
3555 input SAXIGP0ACLK;
3556 input SAXIGP0ARVALID;
3557 input SAXIGP0AWVALID;
3558 input SAXIGP0BREADY;
3559 input SAXIGP0RREADY;
3560 input SAXIGP0WLAST;
3561 input SAXIGP0WVALID;
3562 input SAXIGP1ACLK;
3563 input SAXIGP1ARVALID;
3564 input SAXIGP1AWVALID;
3565 input SAXIGP1BREADY;
3566 input SAXIGP1RREADY;
3567 input SAXIGP1WLAST;
3568 input SAXIGP1WVALID;
3569 input SAXIHP0ACLK;
3570 input SAXIHP0ARVALID;
3571 input SAXIHP0AWVALID;
3572 input SAXIHP0BREADY;
3573 input SAXIHP0RDISSUECAP1EN;
3574 input SAXIHP0RREADY;
3575 input SAXIHP0WLAST;
3576 input SAXIHP0WRISSUECAP1EN;
3577 input SAXIHP0WVALID;
3578 input SAXIHP1ACLK;
3579 input SAXIHP1ARVALID;
3580 input SAXIHP1AWVALID;
3581 input SAXIHP1BREADY;
3582 input SAXIHP1RDISSUECAP1EN;
3583 input SAXIHP1RREADY;
3584 input SAXIHP1WLAST;
3585 input SAXIHP1WRISSUECAP1EN;
3586 input SAXIHP1WVALID;
3587 input SAXIHP2ACLK;
3588 input SAXIHP2ARVALID;
3589 input SAXIHP2AWVALID;
3590 input SAXIHP2BREADY;
3591 input SAXIHP2RDISSUECAP1EN;
3592 input SAXIHP2RREADY;
3593 input SAXIHP2WLAST;
3594 input SAXIHP2WRISSUECAP1EN;
3595 input SAXIHP2WVALID;
3596 input SAXIHP3ACLK;
3597 input SAXIHP3ARVALID;
3598 input SAXIHP3AWVALID;
3599 input SAXIHP3BREADY;
3600 input SAXIHP3RDISSUECAP1EN;
3601 input SAXIHP3RREADY;
3602 input SAXIHP3WLAST;
3603 input SAXIHP3WRISSUECAP1EN;
3604 input SAXIHP3WVALID;
3605 input [11:0] MAXIGP0BID;
3606 input [11:0] MAXIGP0RID;
3607 input [11:0] MAXIGP1BID;
3608 input [11:0] MAXIGP1RID;
3609 input [19:0] IRQF2P;
3610 input [1:0] DMA0DRTYPE;
3611 input [1:0] DMA1DRTYPE;
3612 input [1:0] DMA2DRTYPE;
3613 input [1:0] DMA3DRTYPE;
3614 input [1:0] MAXIGP0BRESP;
3615 input [1:0] MAXIGP0RRESP;
3616 input [1:0] MAXIGP1BRESP;
3617 input [1:0] MAXIGP1RRESP;
3618 input [1:0] SAXIACPARBURST;
3619 input [1:0] SAXIACPARLOCK;
3620 input [1:0] SAXIACPARSIZE;
3621 input [1:0] SAXIACPAWBURST;
3622 input [1:0] SAXIACPAWLOCK;
3623 input [1:0] SAXIACPAWSIZE;
3624 input [1:0] SAXIGP0ARBURST;
3625 input [1:0] SAXIGP0ARLOCK;
3626 input [1:0] SAXIGP0ARSIZE;
3627 input [1:0] SAXIGP0AWBURST;
3628 input [1:0] SAXIGP0AWLOCK;
3629 input [1:0] SAXIGP0AWSIZE;
3630 input [1:0] SAXIGP1ARBURST;
3631 input [1:0] SAXIGP1ARLOCK;
3632 input [1:0] SAXIGP1ARSIZE;
3633 input [1:0] SAXIGP1AWBURST;
3634 input [1:0] SAXIGP1AWLOCK;
3635 input [1:0] SAXIGP1AWSIZE;
3636 input [1:0] SAXIHP0ARBURST;
3637 input [1:0] SAXIHP0ARLOCK;
3638 input [1:0] SAXIHP0ARSIZE;
3639 input [1:0] SAXIHP0AWBURST;
3640 input [1:0] SAXIHP0AWLOCK;
3641 input [1:0] SAXIHP0AWSIZE;
3642 input [1:0] SAXIHP1ARBURST;
3643 input [1:0] SAXIHP1ARLOCK;
3644 input [1:0] SAXIHP1ARSIZE;
3645 input [1:0] SAXIHP1AWBURST;
3646 input [1:0] SAXIHP1AWLOCK;
3647 input [1:0] SAXIHP1AWSIZE;
3648 input [1:0] SAXIHP2ARBURST;
3649 input [1:0] SAXIHP2ARLOCK;
3650 input [1:0] SAXIHP2ARSIZE;
3651 input [1:0] SAXIHP2AWBURST;
3652 input [1:0] SAXIHP2AWLOCK;
3653 input [1:0] SAXIHP2AWSIZE;
3654 input [1:0] SAXIHP3ARBURST;
3655 input [1:0] SAXIHP3ARLOCK;
3656 input [1:0] SAXIHP3ARSIZE;
3657 input [1:0] SAXIHP3AWBURST;
3658 input [1:0] SAXIHP3AWLOCK;
3659 input [1:0] SAXIHP3AWSIZE;
3660 input [2:0] EMIOTTC0CLKI;
3661 input [2:0] EMIOTTC1CLKI;
3662 input [2:0] SAXIACPARID;
3663 input [2:0] SAXIACPARPROT;
3664 input [2:0] SAXIACPAWID;
3665 input [2:0] SAXIACPAWPROT;
3666 input [2:0] SAXIACPWID;
3667 input [2:0] SAXIGP0ARPROT;
3668 input [2:0] SAXIGP0AWPROT;
3669 input [2:0] SAXIGP1ARPROT;
3670 input [2:0] SAXIGP1AWPROT;
3671 input [2:0] SAXIHP0ARPROT;
3672 input [2:0] SAXIHP0AWPROT;
3673 input [2:0] SAXIHP1ARPROT;
3674 input [2:0] SAXIHP1AWPROT;
3675 input [2:0] SAXIHP2ARPROT;
3676 input [2:0] SAXIHP2AWPROT;
3677 input [2:0] SAXIHP3ARPROT;
3678 input [2:0] SAXIHP3AWPROT;
3679 input [31:0] FTMDTRACEINDATA;
3680 input [31:0] FTMTF2PDEBUG;
3681 input [31:0] MAXIGP0RDATA;
3682 input [31:0] MAXIGP1RDATA;
3683 input [31:0] SAXIACPARADDR;
3684 input [31:0] SAXIACPAWADDR;
3685 input [31:0] SAXIGP0ARADDR;
3686 input [31:0] SAXIGP0AWADDR;
3687 input [31:0] SAXIGP0WDATA;
3688 input [31:0] SAXIGP1ARADDR;
3689 input [31:0] SAXIGP1AWADDR;
3690 input [31:0] SAXIGP1WDATA;
3691 input [31:0] SAXIHP0ARADDR;
3692 input [31:0] SAXIHP0AWADDR;
3693 input [31:0] SAXIHP1ARADDR;
3694 input [31:0] SAXIHP1AWADDR;
3695 input [31:0] SAXIHP2ARADDR;
3696 input [31:0] SAXIHP2AWADDR;
3697 input [31:0] SAXIHP3ARADDR;
3698 input [31:0] SAXIHP3AWADDR;
3699 input [3:0] DDRARB;
3700 input [3:0] EMIOSDIO0DATAI;
3701 input [3:0] EMIOSDIO1DATAI;
3702 input [3:0] FCLKCLKTRIGN;
3703 input [3:0] FTMDTRACEINATID;
3704 input [3:0] FTMTF2PTRIG;
3705 input [3:0] FTMTP2FTRIGACK;
3706 input [3:0] SAXIACPARCACHE;
3707 input [3:0] SAXIACPARLEN;
3708 input [3:0] SAXIACPARQOS;
3709 input [3:0] SAXIACPAWCACHE;
3710 input [3:0] SAXIACPAWLEN;
3711 input [3:0] SAXIACPAWQOS;
3712 input [3:0] SAXIGP0ARCACHE;
3713 input [3:0] SAXIGP0ARLEN;
3714 input [3:0] SAXIGP0ARQOS;
3715 input [3:0] SAXIGP0AWCACHE;
3716 input [3:0] SAXIGP0AWLEN;
3717 input [3:0] SAXIGP0AWQOS;
3718 input [3:0] SAXIGP0WSTRB;
3719 input [3:0] SAXIGP1ARCACHE;
3720 input [3:0] SAXIGP1ARLEN;
3721 input [3:0] SAXIGP1ARQOS;
3722 input [3:0] SAXIGP1AWCACHE;
3723 input [3:0] SAXIGP1AWLEN;
3724 input [3:0] SAXIGP1AWQOS;
3725 input [3:0] SAXIGP1WSTRB;
3726 input [3:0] SAXIHP0ARCACHE;
3727 input [3:0] SAXIHP0ARLEN;
3728 input [3:0] SAXIHP0ARQOS;
3729 input [3:0] SAXIHP0AWCACHE;
3730 input [3:0] SAXIHP0AWLEN;
3731 input [3:0] SAXIHP0AWQOS;
3732 input [3:0] SAXIHP1ARCACHE;
3733 input [3:0] SAXIHP1ARLEN;
3734 input [3:0] SAXIHP1ARQOS;
3735 input [3:0] SAXIHP1AWCACHE;
3736 input [3:0] SAXIHP1AWLEN;
3737 input [3:0] SAXIHP1AWQOS;
3738 input [3:0] SAXIHP2ARCACHE;
3739 input [3:0] SAXIHP2ARLEN;
3740 input [3:0] SAXIHP2ARQOS;
3741 input [3:0] SAXIHP2AWCACHE;
3742 input [3:0] SAXIHP2AWLEN;
3743 input [3:0] SAXIHP2AWQOS;
3744 input [3:0] SAXIHP3ARCACHE;
3745 input [3:0] SAXIHP3ARLEN;
3746 input [3:0] SAXIHP3ARQOS;
3747 input [3:0] SAXIHP3AWCACHE;
3748 input [3:0] SAXIHP3AWLEN;
3749 input [3:0] SAXIHP3AWQOS;
3750 input [4:0] SAXIACPARUSER;
3751 input [4:0] SAXIACPAWUSER;
3752 input [5:0] SAXIGP0ARID;
3753 input [5:0] SAXIGP0AWID;
3754 input [5:0] SAXIGP0WID;
3755 input [5:0] SAXIGP1ARID;
3756 input [5:0] SAXIGP1AWID;
3757 input [5:0] SAXIGP1WID;
3758 input [5:0] SAXIHP0ARID;
3759 input [5:0] SAXIHP0AWID;
3760 input [5:0] SAXIHP0WID;
3761 input [5:0] SAXIHP1ARID;
3762 input [5:0] SAXIHP1AWID;
3763 input [5:0] SAXIHP1WID;
3764 input [5:0] SAXIHP2ARID;
3765 input [5:0] SAXIHP2AWID;
3766 input [5:0] SAXIHP2WID;
3767 input [5:0] SAXIHP3ARID;
3768 input [5:0] SAXIHP3AWID;
3769 input [5:0] SAXIHP3WID;
3770 input [63:0] EMIOGPIOI;
3771 input [63:0] SAXIACPWDATA;
3772 input [63:0] SAXIHP0WDATA;
3773 input [63:0] SAXIHP1WDATA;
3774 input [63:0] SAXIHP2WDATA;
3775 input [63:0] SAXIHP3WDATA;
3776 input [7:0] EMIOENET0GMIIRXD;
3777 input [7:0] EMIOENET1GMIIRXD;
3778 input [7:0] SAXIACPWSTRB;
3779 input [7:0] SAXIHP0WSTRB;
3780 input [7:0] SAXIHP1WSTRB;
3781 input [7:0] SAXIHP2WSTRB;
3782 input [7:0] SAXIHP3WSTRB;
3783 endmodule
3784
3785 module PULLDOWN (...);
3786 output O;
3787 endmodule
3788
3789 module PULLUP (...);
3790 output O;
3791 endmodule
3792
3793 module RAM128X1S (...);
3794 parameter [127:0] INIT = 128'h00000000000000000000000000000000;
3795 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3796 output O;
3797 input A0;
3798 input A1;
3799 input A2;
3800 input A3;
3801 input A4;
3802 input A5;
3803 input A6;
3804 input D;
3805 (* clkbuf_sink *)
3806 input WCLK;
3807 input WE;
3808 endmodule
3809
3810 module RAM256X1S (...);
3811 parameter [255:0] INIT = 256'h0;
3812 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3813 output O;
3814 input [7:0] A;
3815 input D;
3816 (* clkbuf_sink *)
3817 input WCLK;
3818 input WE;
3819 endmodule
3820
3821 module RAM32M (...);
3822 parameter [63:0] INIT_A = 64'h0000000000000000;
3823 parameter [63:0] INIT_B = 64'h0000000000000000;
3824 parameter [63:0] INIT_C = 64'h0000000000000000;
3825 parameter [63:0] INIT_D = 64'h0000000000000000;
3826 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3827 output [1:0] DOA;
3828 output [1:0] DOB;
3829 output [1:0] DOC;
3830 output [1:0] DOD;
3831 input [4:0] ADDRA;
3832 input [4:0] ADDRB;
3833 input [4:0] ADDRC;
3834 input [4:0] ADDRD;
3835 input [1:0] DIA;
3836 input [1:0] DIB;
3837 input [1:0] DIC;
3838 input [1:0] DID;
3839 (* clkbuf_sink *)
3840 input WCLK;
3841 input WE;
3842 endmodule
3843
3844 module RAM32X1S (...);
3845 parameter [31:0] INIT = 32'h00000000;
3846 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3847 output O;
3848 input A0;
3849 input A1;
3850 input A2;
3851 input A3;
3852 input A4;
3853 input D;
3854 (* clkbuf_sink *)
3855 input WCLK;
3856 input WE;
3857 endmodule
3858
3859 module RAM32X1S_1 (...);
3860 parameter [31:0] INIT = 32'h00000000;
3861 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3862 output O;
3863 input A0;
3864 input A1;
3865 input A2;
3866 input A3;
3867 input A4;
3868 input D;
3869 (* clkbuf_sink *)
3870 input WCLK;
3871 input WE;
3872 endmodule
3873
3874 module RAM32X2S (...);
3875 parameter [31:0] INIT_00 = 32'h00000000;
3876 parameter [31:0] INIT_01 = 32'h00000000;
3877 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3878 output O0;
3879 output O1;
3880 input A0;
3881 input A1;
3882 input A2;
3883 input A3;
3884 input A4;
3885 input D0;
3886 input D1;
3887 (* clkbuf_sink *)
3888 input WCLK;
3889 input WE;
3890 endmodule
3891
3892 module RAM64M (...);
3893 parameter [63:0] INIT_A = 64'h0000000000000000;
3894 parameter [63:0] INIT_B = 64'h0000000000000000;
3895 parameter [63:0] INIT_C = 64'h0000000000000000;
3896 parameter [63:0] INIT_D = 64'h0000000000000000;
3897 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3898 output DOA;
3899 output DOB;
3900 output DOC;
3901 output DOD;
3902 input [5:0] ADDRA;
3903 input [5:0] ADDRB;
3904 input [5:0] ADDRC;
3905 input [5:0] ADDRD;
3906 input DIA;
3907 input DIB;
3908 input DIC;
3909 input DID;
3910 (* clkbuf_sink *)
3911 input WCLK;
3912 input WE;
3913 endmodule
3914
3915 module RAM64X1S (...);
3916 parameter [63:0] INIT = 64'h0000000000000000;
3917 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3918 output O;
3919 input A0;
3920 input A1;
3921 input A2;
3922 input A3;
3923 input A4;
3924 input A5;
3925 input D;
3926 (* clkbuf_sink *)
3927 input WCLK;
3928 input WE;
3929 endmodule
3930
3931 module RAM64X1S_1 (...);
3932 parameter [63:0] INIT = 64'h0000000000000000;
3933 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3934 output O;
3935 input A0;
3936 input A1;
3937 input A2;
3938 input A3;
3939 input A4;
3940 input A5;
3941 input D;
3942 (* clkbuf_sink *)
3943 input WCLK;
3944 input WE;
3945 endmodule
3946
3947 module RAM64X2S (...);
3948 parameter [63:0] INIT_00 = 64'h0000000000000000;
3949 parameter [63:0] INIT_01 = 64'h0000000000000000;
3950 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3951 output O0;
3952 output O1;
3953 input A0;
3954 input A1;
3955 input A2;
3956 input A3;
3957 input A4;
3958 input A5;
3959 input D0;
3960 input D1;
3961 (* clkbuf_sink *)
3962 input WCLK;
3963 input WE;
3964 endmodule
3965
3966 module ROM128X1 (...);
3967 parameter [127:0] INIT = 128'h00000000000000000000000000000000;
3968 output O;
3969 input A0;
3970 input A1;
3971 input A2;
3972 input A3;
3973 input A4;
3974 input A5;
3975 input A6;
3976 endmodule
3977
3978 module ROM256X1 (...);
3979 parameter [255:0] INIT = 256'h0000000000000000000000000000000000000000000000000000000000000000;
3980 output O;
3981 input A0;
3982 input A1;
3983 input A2;
3984 input A3;
3985 input A4;
3986 input A5;
3987 input A6;
3988 input A7;
3989 endmodule
3990
3991 module ROM32X1 (...);
3992 parameter [31:0] INIT = 32'h00000000;
3993 output O;
3994 input A0;
3995 input A1;
3996 input A2;
3997 input A3;
3998 input A4;
3999 endmodule
4000
4001 module ROM64X1 (...);
4002 parameter [63:0] INIT = 64'h0000000000000000;
4003 output O;
4004 input A0;
4005 input A1;
4006 input A2;
4007 input A3;
4008 input A4;
4009 input A5;
4010 endmodule
4011
4012 (* keep *)
4013 module STARTUPE2 (...);
4014 parameter PROG_USR = "FALSE";
4015 parameter real SIM_CCLK_FREQ = 0.0;
4016 output CFGCLK;
4017 output CFGMCLK;
4018 output EOS;
4019 output PREQ;
4020 input CLK;
4021 input GSR;
4022 input GTS;
4023 input KEYCLEARB;
4024 input PACK;
4025 input USRCCLKO;
4026 input USRCCLKTS;
4027 input USRDONEO;
4028 input USRDONETS;
4029 endmodule
4030
4031 module USR_ACCESSE2 (...);
4032 output CFGCLK;
4033 output DATAVALID;
4034 output [31:0] DATA;
4035 endmodule
4036
4037 module XADC (...);
4038 output BUSY;
4039 output DRDY;
4040 output EOC;
4041 output EOS;
4042 output JTAGBUSY;
4043 output JTAGLOCKED;
4044 output JTAGMODIFIED;
4045 output OT;
4046 output [15:0] DO;
4047 output [7:0] ALM;
4048 output [4:0] CHANNEL;
4049 output [4:0] MUXADDR;
4050 input CONVST;
4051 input CONVSTCLK;
4052 input DCLK;
4053 input DEN;
4054 input DWE;
4055 input RESET;
4056 input VN;
4057 input VP;
4058 input [15:0] DI;
4059 input [15:0] VAUXN;
4060 input [15:0] VAUXP;
4061 input [6:0] DADDR;
4062 parameter [15:0] INIT_40 = 16'h0;
4063 parameter [15:0] INIT_41 = 16'h0;
4064 parameter [15:0] INIT_42 = 16'h0800;
4065 parameter [15:0] INIT_43 = 16'h0;
4066 parameter [15:0] INIT_44 = 16'h0;
4067 parameter [15:0] INIT_45 = 16'h0;
4068 parameter [15:0] INIT_46 = 16'h0;
4069 parameter [15:0] INIT_47 = 16'h0;
4070 parameter [15:0] INIT_48 = 16'h0;
4071 parameter [15:0] INIT_49 = 16'h0;
4072 parameter [15:0] INIT_4A = 16'h0;
4073 parameter [15:0] INIT_4B = 16'h0;
4074 parameter [15:0] INIT_4C = 16'h0;
4075 parameter [15:0] INIT_4D = 16'h0;
4076 parameter [15:0] INIT_4E = 16'h0;
4077 parameter [15:0] INIT_4F = 16'h0;
4078 parameter [15:0] INIT_50 = 16'h0;
4079 parameter [15:0] INIT_51 = 16'h0;
4080 parameter [15:0] INIT_52 = 16'h0;
4081 parameter [15:0] INIT_53 = 16'h0;
4082 parameter [15:0] INIT_54 = 16'h0;
4083 parameter [15:0] INIT_55 = 16'h0;
4084 parameter [15:0] INIT_56 = 16'h0;
4085 parameter [15:0] INIT_57 = 16'h0;
4086 parameter [15:0] INIT_58 = 16'h0;
4087 parameter [15:0] INIT_59 = 16'h0;
4088 parameter [15:0] INIT_5A = 16'h0;
4089 parameter [15:0] INIT_5B = 16'h0;
4090 parameter [15:0] INIT_5C = 16'h0;
4091 parameter [15:0] INIT_5D = 16'h0;
4092 parameter [15:0] INIT_5E = 16'h0;
4093 parameter [15:0] INIT_5F = 16'h0;
4094 parameter IS_CONVSTCLK_INVERTED = 1'b0;
4095 parameter IS_DCLK_INVERTED = 1'b0;
4096 parameter SIM_DEVICE = "7SERIES";
4097 parameter SIM_MONITOR_FILE = "design.txt";
4098 endmodule
4099