If d_bit already in sigbit_chain_next, create extra wire
[yosys.git] / techlibs / xilinx / cells_xtra.v
1 // Created by cells_xtra.sh from Xilinx models
2
3 module BSCANE2 (...);
4 parameter DISABLE_JTAG = "FALSE";
5 parameter integer JTAG_CHAIN = 1;
6 output CAPTURE;
7 output DRCK;
8 output RESET;
9 output RUNTEST;
10 output SEL;
11 output SHIFT;
12 output TCK;
13 output TDI;
14 output TMS;
15 output UPDATE;
16 input TDO;
17 endmodule
18
19 module BUFGCE (...);
20 parameter CE_TYPE = "SYNC";
21 parameter [0:0] IS_CE_INVERTED = 1'b0;
22 parameter [0:0] IS_I_INVERTED = 1'b0;
23 output O;
24 input CE;
25 input I;
26 endmodule
27
28 module BUFGCE_1 (...);
29 output O;
30 input CE, I;
31 endmodule
32
33 module BUFGMUX (...);
34 parameter CLK_SEL_TYPE = "SYNC";
35 output O;
36 input I0, I1, S;
37 endmodule
38
39 module BUFGMUX_1 (...);
40 parameter CLK_SEL_TYPE = "SYNC";
41 output O;
42 input I0, I1, S;
43 endmodule
44
45 module BUFGMUX_CTRL (...);
46 output O;
47 input I0;
48 input I1;
49 input S;
50 endmodule
51
52 module BUFH (...);
53 output O;
54 input I;
55 endmodule
56
57 module BUFIO (...);
58 output O;
59 input I;
60 endmodule
61
62 module BUFMR (...);
63 output O;
64 input I;
65 endmodule
66
67 module BUFMRCE (...);
68 parameter CE_TYPE = "SYNC";
69 parameter integer INIT_OUT = 0;
70 parameter [0:0] IS_CE_INVERTED = 1'b0;
71 output O;
72 input CE;
73 input I;
74 endmodule
75
76 module BUFR (...);
77 output O;
78 input CE;
79 input CLR;
80 input I;
81 parameter BUFR_DIVIDE = "BYPASS";
82 parameter SIM_DEVICE = "7SERIES";
83 endmodule
84
85 (* keep *)
86 module CAPTUREE2 (...);
87 parameter ONESHOT = "TRUE";
88 input CAP;
89 input CLK;
90 endmodule
91
92 module CFGLUT5 (...);
93 parameter [31:0] INIT = 32'h00000000;
94 parameter [0:0] IS_CLK_INVERTED = 1'b0;
95 output CDO;
96 output O5;
97 output O6;
98 input I4, I3, I2, I1, I0;
99 input CDI, CE, CLK;
100 endmodule
101
102 (* keep *)
103 module DCIRESET (...);
104 output LOCKED;
105 input RST;
106 endmodule
107
108 module DNA_PORT (...);
109 parameter [56:0] SIM_DNA_VALUE = 57'h0;
110 output DOUT;
111 input CLK, DIN, READ, SHIFT;
112 endmodule
113
114 module DSP48E1 (...);
115 parameter integer ACASCREG = 1;
116 parameter integer ADREG = 1;
117 parameter integer ALUMODEREG = 1;
118 parameter integer AREG = 1;
119 parameter AUTORESET_PATDET = "NO_RESET";
120 parameter A_INPUT = "DIRECT";
121 parameter integer BCASCREG = 1;
122 parameter integer BREG = 1;
123 parameter B_INPUT = "DIRECT";
124 parameter integer CARRYINREG = 1;
125 parameter integer CARRYINSELREG = 1;
126 parameter integer CREG = 1;
127 parameter integer DREG = 1;
128 parameter integer INMODEREG = 1;
129 parameter integer MREG = 1;
130 parameter integer OPMODEREG = 1;
131 parameter integer PREG = 1;
132 parameter SEL_MASK = "MASK";
133 parameter SEL_PATTERN = "PATTERN";
134 parameter USE_DPORT = "FALSE";
135 parameter USE_MULT = "MULTIPLY";
136 parameter USE_PATTERN_DETECT = "NO_PATDET";
137 parameter USE_SIMD = "ONE48";
138 parameter [47:0] MASK = 48'h3FFFFFFFFFFF;
139 parameter [47:0] PATTERN = 48'h000000000000;
140 parameter [3:0] IS_ALUMODE_INVERTED = 4'b0;
141 parameter [0:0] IS_CARRYIN_INVERTED = 1'b0;
142 parameter [0:0] IS_CLK_INVERTED = 1'b0;
143 parameter [4:0] IS_INMODE_INVERTED = 5'b0;
144 parameter [6:0] IS_OPMODE_INVERTED = 7'b0;
145 output [29:0] ACOUT;
146 output [17:0] BCOUT;
147 output CARRYCASCOUT;
148 output [3:0] CARRYOUT;
149 output MULTSIGNOUT;
150 output OVERFLOW;
151 output [47:0] P;
152 output PATTERNBDETECT;
153 output PATTERNDETECT;
154 output [47:0] PCOUT;
155 output UNDERFLOW;
156 input [29:0] A;
157 input [29:0] ACIN;
158 input [3:0] ALUMODE;
159 input [17:0] B;
160 input [17:0] BCIN;
161 input [47:0] C;
162 input CARRYCASCIN;
163 input CARRYIN;
164 input [2:0] CARRYINSEL;
165 input CEA1;
166 input CEA2;
167 input CEAD;
168 input CEALUMODE;
169 input CEB1;
170 input CEB2;
171 input CEC;
172 input CECARRYIN;
173 input CECTRL;
174 input CED;
175 input CEINMODE;
176 input CEM;
177 input CEP;
178 input CLK;
179 input [24:0] D;
180 input [4:0] INMODE;
181 input MULTSIGNIN;
182 input [6:0] OPMODE;
183 input [47:0] PCIN;
184 input RSTA;
185 input RSTALLCARRYIN;
186 input RSTALUMODE;
187 input RSTB;
188 input RSTC;
189 input RSTCTRL;
190 input RSTD;
191 input RSTINMODE;
192 input RSTM;
193 input RSTP;
194 endmodule
195
196 module EFUSE_USR (...);
197 parameter [31:0] SIM_EFUSE_VALUE = 32'h00000000;
198 output [31:0] EFUSEUSR;
199 endmodule
200
201 module FIFO18E1 (...);
202 parameter ALMOST_EMPTY_OFFSET = 13'h0080;
203 parameter ALMOST_FULL_OFFSET = 13'h0080;
204 parameter integer DATA_WIDTH = 4;
205 parameter integer DO_REG = 1;
206 parameter EN_SYN = "FALSE";
207 parameter FIFO_MODE = "FIFO18";
208 parameter FIRST_WORD_FALL_THROUGH = "FALSE";
209 parameter INIT = 36'h0;
210 parameter SIM_DEVICE = "VIRTEX6";
211 parameter SRVAL = 36'h0;
212 parameter IS_RDCLK_INVERTED = 1'b0;
213 parameter IS_RDEN_INVERTED = 1'b0;
214 parameter IS_RSTREG_INVERTED = 1'b0;
215 parameter IS_RST_INVERTED = 1'b0;
216 parameter IS_WRCLK_INVERTED = 1'b0;
217 parameter IS_WREN_INVERTED = 1'b0;
218 output ALMOSTEMPTY;
219 output ALMOSTFULL;
220 output [31:0] DO;
221 output [3:0] DOP;
222 output EMPTY;
223 output FULL;
224 output [11:0] RDCOUNT;
225 output RDERR;
226 output [11:0] WRCOUNT;
227 output WRERR;
228 input [31:0] DI;
229 input [3:0] DIP;
230 input RDCLK;
231 input RDEN;
232 input REGCE;
233 input RST;
234 input RSTREG;
235 input WRCLK;
236 input WREN;
237 endmodule
238
239 module FIFO36E1 (...);
240 parameter ALMOST_EMPTY_OFFSET = 13'h0080;
241 parameter ALMOST_FULL_OFFSET = 13'h0080;
242 parameter integer DATA_WIDTH = 4;
243 parameter integer DO_REG = 1;
244 parameter EN_ECC_READ = "FALSE";
245 parameter EN_ECC_WRITE = "FALSE";
246 parameter EN_SYN = "FALSE";
247 parameter FIFO_MODE = "FIFO36";
248 parameter FIRST_WORD_FALL_THROUGH = "FALSE";
249 parameter INIT = 72'h0;
250 parameter SIM_DEVICE = "VIRTEX6";
251 parameter SRVAL = 72'h0;
252 parameter IS_RDCLK_INVERTED = 1'b0;
253 parameter IS_RDEN_INVERTED = 1'b0;
254 parameter IS_RSTREG_INVERTED = 1'b0;
255 parameter IS_RST_INVERTED = 1'b0;
256 parameter IS_WRCLK_INVERTED = 1'b0;
257 parameter IS_WREN_INVERTED = 1'b0;
258 output ALMOSTEMPTY;
259 output ALMOSTFULL;
260 output DBITERR;
261 output [63:0] DO;
262 output [7:0] DOP;
263 output [7:0] ECCPARITY;
264 output EMPTY;
265 output FULL;
266 output [12:0] RDCOUNT;
267 output RDERR;
268 output SBITERR;
269 output [12:0] WRCOUNT;
270 output WRERR;
271 input [63:0] DI;
272 input [7:0] DIP;
273 input INJECTDBITERR;
274 input INJECTSBITERR;
275 input RDCLK;
276 input RDEN;
277 input REGCE;
278 input RST;
279 input RSTREG;
280 input WRCLK;
281 input WREN;
282 endmodule
283
284 module FRAME_ECCE2 (...);
285 parameter FARSRC = "EFAR";
286 parameter FRAME_RBT_IN_FILENAME = "NONE";
287 output CRCERROR;
288 output ECCERROR;
289 output ECCERRORSINGLE;
290 output SYNDROMEVALID;
291 output [12:0] SYNDROME;
292 output [25:0] FAR;
293 output [4:0] SYNBIT;
294 output [6:0] SYNWORD;
295 endmodule
296
297 module GTHE2_CHANNEL (...);
298 parameter [0:0] ACJTAG_DEBUG_MODE = 1'b0;
299 parameter [0:0] ACJTAG_MODE = 1'b0;
300 parameter [0:0] ACJTAG_RESET = 1'b0;
301 parameter [19:0] ADAPT_CFG0 = 20'h00C10;
302 parameter ALIGN_COMMA_DOUBLE = "FALSE";
303 parameter [9:0] ALIGN_COMMA_ENABLE = 10'b0001111111;
304 parameter integer ALIGN_COMMA_WORD = 1;
305 parameter ALIGN_MCOMMA_DET = "TRUE";
306 parameter [9:0] ALIGN_MCOMMA_VALUE = 10'b1010000011;
307 parameter ALIGN_PCOMMA_DET = "TRUE";
308 parameter [9:0] ALIGN_PCOMMA_VALUE = 10'b0101111100;
309 parameter [0:0] A_RXOSCALRESET = 1'b0;
310 parameter CBCC_DATA_SOURCE_SEL = "DECODED";
311 parameter [41:0] CFOK_CFG = 42'h24800040E80;
312 parameter [5:0] CFOK_CFG2 = 6'b100000;
313 parameter [5:0] CFOK_CFG3 = 6'b100000;
314 parameter CHAN_BOND_KEEP_ALIGN = "FALSE";
315 parameter integer CHAN_BOND_MAX_SKEW = 7;
316 parameter [9:0] CHAN_BOND_SEQ_1_1 = 10'b0101111100;
317 parameter [9:0] CHAN_BOND_SEQ_1_2 = 10'b0000000000;
318 parameter [9:0] CHAN_BOND_SEQ_1_3 = 10'b0000000000;
319 parameter [9:0] CHAN_BOND_SEQ_1_4 = 10'b0000000000;
320 parameter [3:0] CHAN_BOND_SEQ_1_ENABLE = 4'b1111;
321 parameter [9:0] CHAN_BOND_SEQ_2_1 = 10'b0100000000;
322 parameter [9:0] CHAN_BOND_SEQ_2_2 = 10'b0100000000;
323 parameter [9:0] CHAN_BOND_SEQ_2_3 = 10'b0100000000;
324 parameter [9:0] CHAN_BOND_SEQ_2_4 = 10'b0100000000;
325 parameter [3:0] CHAN_BOND_SEQ_2_ENABLE = 4'b1111;
326 parameter CHAN_BOND_SEQ_2_USE = "FALSE";
327 parameter integer CHAN_BOND_SEQ_LEN = 1;
328 parameter CLK_CORRECT_USE = "TRUE";
329 parameter CLK_COR_KEEP_IDLE = "FALSE";
330 parameter integer CLK_COR_MAX_LAT = 20;
331 parameter integer CLK_COR_MIN_LAT = 18;
332 parameter CLK_COR_PRECEDENCE = "TRUE";
333 parameter integer CLK_COR_REPEAT_WAIT = 0;
334 parameter [9:0] CLK_COR_SEQ_1_1 = 10'b0100011100;
335 parameter [9:0] CLK_COR_SEQ_1_2 = 10'b0000000000;
336 parameter [9:0] CLK_COR_SEQ_1_3 = 10'b0000000000;
337 parameter [9:0] CLK_COR_SEQ_1_4 = 10'b0000000000;
338 parameter [3:0] CLK_COR_SEQ_1_ENABLE = 4'b1111;
339 parameter [9:0] CLK_COR_SEQ_2_1 = 10'b0100000000;
340 parameter [9:0] CLK_COR_SEQ_2_2 = 10'b0100000000;
341 parameter [9:0] CLK_COR_SEQ_2_3 = 10'b0100000000;
342 parameter [9:0] CLK_COR_SEQ_2_4 = 10'b0100000000;
343 parameter [3:0] CLK_COR_SEQ_2_ENABLE = 4'b1111;
344 parameter CLK_COR_SEQ_2_USE = "FALSE";
345 parameter integer CLK_COR_SEQ_LEN = 1;
346 parameter [28:0] CPLL_CFG = 29'h00BC07DC;
347 parameter integer CPLL_FBDIV = 4;
348 parameter integer CPLL_FBDIV_45 = 5;
349 parameter [23:0] CPLL_INIT_CFG = 24'h00001E;
350 parameter [15:0] CPLL_LOCK_CFG = 16'h01E8;
351 parameter integer CPLL_REFCLK_DIV = 1;
352 parameter DEC_MCOMMA_DETECT = "TRUE";
353 parameter DEC_PCOMMA_DETECT = "TRUE";
354 parameter DEC_VALID_COMMA_ONLY = "TRUE";
355 parameter [23:0] DMONITOR_CFG = 24'h000A00;
356 parameter [0:0] ES_CLK_PHASE_SEL = 1'b0;
357 parameter [5:0] ES_CONTROL = 6'b000000;
358 parameter ES_ERRDET_EN = "FALSE";
359 parameter ES_EYE_SCAN_EN = "TRUE";
360 parameter [11:0] ES_HORZ_OFFSET = 12'h000;
361 parameter [9:0] ES_PMA_CFG = 10'b0000000000;
362 parameter [4:0] ES_PRESCALE = 5'b00000;
363 parameter [79:0] ES_QUALIFIER = 80'h00000000000000000000;
364 parameter [79:0] ES_QUAL_MASK = 80'h00000000000000000000;
365 parameter [79:0] ES_SDATA_MASK = 80'h00000000000000000000;
366 parameter [8:0] ES_VERT_OFFSET = 9'b000000000;
367 parameter [3:0] FTS_DESKEW_SEQ_ENABLE = 4'b1111;
368 parameter [3:0] FTS_LANE_DESKEW_CFG = 4'b1111;
369 parameter FTS_LANE_DESKEW_EN = "FALSE";
370 parameter [2:0] GEARBOX_MODE = 3'b000;
371 parameter [0:0] IS_CLKRSVD0_INVERTED = 1'b0;
372 parameter [0:0] IS_CLKRSVD1_INVERTED = 1'b0;
373 parameter [0:0] IS_CPLLLOCKDETCLK_INVERTED = 1'b0;
374 parameter [0:0] IS_DMONITORCLK_INVERTED = 1'b0;
375 parameter [0:0] IS_DRPCLK_INVERTED = 1'b0;
376 parameter [0:0] IS_GTGREFCLK_INVERTED = 1'b0;
377 parameter [0:0] IS_RXUSRCLK2_INVERTED = 1'b0;
378 parameter [0:0] IS_RXUSRCLK_INVERTED = 1'b0;
379 parameter [0:0] IS_SIGVALIDCLK_INVERTED = 1'b0;
380 parameter [0:0] IS_TXPHDLYTSTCLK_INVERTED = 1'b0;
381 parameter [0:0] IS_TXUSRCLK2_INVERTED = 1'b0;
382 parameter [0:0] IS_TXUSRCLK_INVERTED = 1'b0;
383 parameter [0:0] LOOPBACK_CFG = 1'b0;
384 parameter [1:0] OUTREFCLK_SEL_INV = 2'b11;
385 parameter PCS_PCIE_EN = "FALSE";
386 parameter [47:0] PCS_RSVD_ATTR = 48'h000000000000;
387 parameter [11:0] PD_TRANS_TIME_FROM_P2 = 12'h03C;
388 parameter [7:0] PD_TRANS_TIME_NONE_P2 = 8'h19;
389 parameter [7:0] PD_TRANS_TIME_TO_P2 = 8'h64;
390 parameter [31:0] PMA_RSV = 32'b00000000000000000000000010000000;
391 parameter [31:0] PMA_RSV2 = 32'b00011100000000000000000000001010;
392 parameter [1:0] PMA_RSV3 = 2'b00;
393 parameter [14:0] PMA_RSV4 = 15'b000000000001000;
394 parameter [3:0] PMA_RSV5 = 4'b0000;
395 parameter [0:0] RESET_POWERSAVE_DISABLE = 1'b0;
396 parameter [4:0] RXBUFRESET_TIME = 5'b00001;
397 parameter RXBUF_ADDR_MODE = "FULL";
398 parameter [3:0] RXBUF_EIDLE_HI_CNT = 4'b1000;
399 parameter [3:0] RXBUF_EIDLE_LO_CNT = 4'b0000;
400 parameter RXBUF_EN = "TRUE";
401 parameter RXBUF_RESET_ON_CB_CHANGE = "TRUE";
402 parameter RXBUF_RESET_ON_COMMAALIGN = "FALSE";
403 parameter RXBUF_RESET_ON_EIDLE = "FALSE";
404 parameter RXBUF_RESET_ON_RATE_CHANGE = "TRUE";
405 parameter integer RXBUF_THRESH_OVFLW = 61;
406 parameter RXBUF_THRESH_OVRD = "FALSE";
407 parameter integer RXBUF_THRESH_UNDFLW = 4;
408 parameter [4:0] RXCDRFREQRESET_TIME = 5'b00001;
409 parameter [4:0] RXCDRPHRESET_TIME = 5'b00001;
410 parameter [82:0] RXCDR_CFG = 83'h0002007FE2000C208001A;
411 parameter [0:0] RXCDR_FR_RESET_ON_EIDLE = 1'b0;
412 parameter [0:0] RXCDR_HOLD_DURING_EIDLE = 1'b0;
413 parameter [5:0] RXCDR_LOCK_CFG = 6'b001001;
414 parameter [0:0] RXCDR_PH_RESET_ON_EIDLE = 1'b0;
415 parameter [6:0] RXDFELPMRESET_TIME = 7'b0001111;
416 parameter [15:0] RXDLY_CFG = 16'h001F;
417 parameter [8:0] RXDLY_LCFG = 9'h030;
418 parameter [15:0] RXDLY_TAP_CFG = 16'h0000;
419 parameter RXGEARBOX_EN = "FALSE";
420 parameter [4:0] RXISCANRESET_TIME = 5'b00001;
421 parameter [13:0] RXLPM_HF_CFG = 14'b00001000000000;
422 parameter [17:0] RXLPM_LF_CFG = 18'b001001000000000000;
423 parameter [6:0] RXOOB_CFG = 7'b0000110;
424 parameter RXOOB_CLK_CFG = "PMA";
425 parameter [4:0] RXOSCALRESET_TIME = 5'b00011;
426 parameter [4:0] RXOSCALRESET_TIMEOUT = 5'b00000;
427 parameter integer RXOUT_DIV = 2;
428 parameter [4:0] RXPCSRESET_TIME = 5'b00001;
429 parameter [23:0] RXPHDLY_CFG = 24'h084020;
430 parameter [23:0] RXPH_CFG = 24'hC00002;
431 parameter [4:0] RXPH_MONITOR_SEL = 5'b00000;
432 parameter [1:0] RXPI_CFG0 = 2'b00;
433 parameter [1:0] RXPI_CFG1 = 2'b00;
434 parameter [1:0] RXPI_CFG2 = 2'b00;
435 parameter [1:0] RXPI_CFG3 = 2'b00;
436 parameter [0:0] RXPI_CFG4 = 1'b0;
437 parameter [0:0] RXPI_CFG5 = 1'b0;
438 parameter [2:0] RXPI_CFG6 = 3'b100;
439 parameter [4:0] RXPMARESET_TIME = 5'b00011;
440 parameter [0:0] RXPRBS_ERR_LOOPBACK = 1'b0;
441 parameter integer RXSLIDE_AUTO_WAIT = 7;
442 parameter RXSLIDE_MODE = "OFF";
443 parameter [0:0] RXSYNC_MULTILANE = 1'b0;
444 parameter [0:0] RXSYNC_OVRD = 1'b0;
445 parameter [0:0] RXSYNC_SKIP_DA = 1'b0;
446 parameter [23:0] RX_BIAS_CFG = 24'b000011000000000000010000;
447 parameter [5:0] RX_BUFFER_CFG = 6'b000000;
448 parameter integer RX_CLK25_DIV = 7;
449 parameter [0:0] RX_CLKMUX_PD = 1'b1;
450 parameter [1:0] RX_CM_SEL = 2'b11;
451 parameter [3:0] RX_CM_TRIM = 4'b0100;
452 parameter integer RX_DATA_WIDTH = 20;
453 parameter [5:0] RX_DDI_SEL = 6'b000000;
454 parameter [13:0] RX_DEBUG_CFG = 14'b00000000000000;
455 parameter RX_DEFER_RESET_BUF_EN = "TRUE";
456 parameter [3:0] RX_DFELPM_CFG0 = 4'b0110;
457 parameter [0:0] RX_DFELPM_CFG1 = 1'b0;
458 parameter [0:0] RX_DFELPM_KLKH_AGC_STUP_EN = 1'b1;
459 parameter [1:0] RX_DFE_AGC_CFG0 = 2'b00;
460 parameter [2:0] RX_DFE_AGC_CFG1 = 3'b010;
461 parameter [3:0] RX_DFE_AGC_CFG2 = 4'b0000;
462 parameter [0:0] RX_DFE_AGC_OVRDEN = 1'b1;
463 parameter [22:0] RX_DFE_GAIN_CFG = 23'h0020C0;
464 parameter [11:0] RX_DFE_H2_CFG = 12'b000000000000;
465 parameter [11:0] RX_DFE_H3_CFG = 12'b000001000000;
466 parameter [10:0] RX_DFE_H4_CFG = 11'b00011100000;
467 parameter [10:0] RX_DFE_H5_CFG = 11'b00011100000;
468 parameter [10:0] RX_DFE_H6_CFG = 11'b00000100000;
469 parameter [10:0] RX_DFE_H7_CFG = 11'b00000100000;
470 parameter [32:0] RX_DFE_KL_CFG = 33'b000000000000000000000001100010000;
471 parameter [1:0] RX_DFE_KL_LPM_KH_CFG0 = 2'b01;
472 parameter [2:0] RX_DFE_KL_LPM_KH_CFG1 = 3'b010;
473 parameter [3:0] RX_DFE_KL_LPM_KH_CFG2 = 4'b0010;
474 parameter [0:0] RX_DFE_KL_LPM_KH_OVRDEN = 1'b1;
475 parameter [1:0] RX_DFE_KL_LPM_KL_CFG0 = 2'b10;
476 parameter [2:0] RX_DFE_KL_LPM_KL_CFG1 = 3'b010;
477 parameter [3:0] RX_DFE_KL_LPM_KL_CFG2 = 4'b0010;
478 parameter [0:0] RX_DFE_KL_LPM_KL_OVRDEN = 1'b1;
479 parameter [15:0] RX_DFE_LPM_CFG = 16'h0080;
480 parameter [0:0] RX_DFE_LPM_HOLD_DURING_EIDLE = 1'b0;
481 parameter [53:0] RX_DFE_ST_CFG = 54'h00E100000C003F;
482 parameter [16:0] RX_DFE_UT_CFG = 17'b00011100000000000;
483 parameter [16:0] RX_DFE_VP_CFG = 17'b00011101010100011;
484 parameter RX_DISPERR_SEQ_MATCH = "TRUE";
485 parameter integer RX_INT_DATAWIDTH = 0;
486 parameter [12:0] RX_OS_CFG = 13'b0000010000000;
487 parameter integer RX_SIG_VALID_DLY = 10;
488 parameter RX_XCLK_SEL = "RXREC";
489 parameter integer SAS_MAX_COM = 64;
490 parameter integer SAS_MIN_COM = 36;
491 parameter [3:0] SATA_BURST_SEQ_LEN = 4'b1111;
492 parameter [2:0] SATA_BURST_VAL = 3'b100;
493 parameter SATA_CPLL_CFG = "VCO_3000MHZ";
494 parameter [2:0] SATA_EIDLE_VAL = 3'b100;
495 parameter integer SATA_MAX_BURST = 8;
496 parameter integer SATA_MAX_INIT = 21;
497 parameter integer SATA_MAX_WAKE = 7;
498 parameter integer SATA_MIN_BURST = 4;
499 parameter integer SATA_MIN_INIT = 12;
500 parameter integer SATA_MIN_WAKE = 4;
501 parameter SHOW_REALIGN_COMMA = "TRUE";
502 parameter [2:0] SIM_CPLLREFCLK_SEL = 3'b001;
503 parameter SIM_RECEIVER_DETECT_PASS = "TRUE";
504 parameter SIM_RESET_SPEEDUP = "TRUE";
505 parameter SIM_TX_EIDLE_DRIVE_LEVEL = "X";
506 parameter SIM_VERSION = "1.1";
507 parameter [14:0] TERM_RCAL_CFG = 15'b100001000010000;
508 parameter [2:0] TERM_RCAL_OVRD = 3'b000;
509 parameter [7:0] TRANS_TIME_RATE = 8'h0E;
510 parameter [31:0] TST_RSV = 32'h00000000;
511 parameter TXBUF_EN = "TRUE";
512 parameter TXBUF_RESET_ON_RATE_CHANGE = "FALSE";
513 parameter [15:0] TXDLY_CFG = 16'h001F;
514 parameter [8:0] TXDLY_LCFG = 9'h030;
515 parameter [15:0] TXDLY_TAP_CFG = 16'h0000;
516 parameter TXGEARBOX_EN = "FALSE";
517 parameter [0:0] TXOOB_CFG = 1'b0;
518 parameter integer TXOUT_DIV = 2;
519 parameter [4:0] TXPCSRESET_TIME = 5'b00001;
520 parameter [23:0] TXPHDLY_CFG = 24'h084020;
521 parameter [15:0] TXPH_CFG = 16'h0780;
522 parameter [4:0] TXPH_MONITOR_SEL = 5'b00000;
523 parameter [1:0] TXPI_CFG0 = 2'b00;
524 parameter [1:0] TXPI_CFG1 = 2'b00;
525 parameter [1:0] TXPI_CFG2 = 2'b00;
526 parameter [0:0] TXPI_CFG3 = 1'b0;
527 parameter [0:0] TXPI_CFG4 = 1'b0;
528 parameter [2:0] TXPI_CFG5 = 3'b100;
529 parameter [0:0] TXPI_GREY_SEL = 1'b0;
530 parameter [0:0] TXPI_INVSTROBE_SEL = 1'b0;
531 parameter TXPI_PPMCLK_SEL = "TXUSRCLK2";
532 parameter [7:0] TXPI_PPM_CFG = 8'b00000000;
533 parameter [2:0] TXPI_SYNFREQ_PPM = 3'b000;
534 parameter [4:0] TXPMARESET_TIME = 5'b00001;
535 parameter [0:0] TXSYNC_MULTILANE = 1'b0;
536 parameter [0:0] TXSYNC_OVRD = 1'b0;
537 parameter [0:0] TXSYNC_SKIP_DA = 1'b0;
538 parameter integer TX_CLK25_DIV = 7;
539 parameter [0:0] TX_CLKMUX_PD = 1'b1;
540 parameter integer TX_DATA_WIDTH = 20;
541 parameter [5:0] TX_DEEMPH0 = 6'b000000;
542 parameter [5:0] TX_DEEMPH1 = 6'b000000;
543 parameter TX_DRIVE_MODE = "DIRECT";
544 parameter [2:0] TX_EIDLE_ASSERT_DELAY = 3'b110;
545 parameter [2:0] TX_EIDLE_DEASSERT_DELAY = 3'b100;
546 parameter integer TX_INT_DATAWIDTH = 0;
547 parameter TX_LOOPBACK_DRIVE_HIZ = "FALSE";
548 parameter [0:0] TX_MAINCURSOR_SEL = 1'b0;
549 parameter [6:0] TX_MARGIN_FULL_0 = 7'b1001110;
550 parameter [6:0] TX_MARGIN_FULL_1 = 7'b1001001;
551 parameter [6:0] TX_MARGIN_FULL_2 = 7'b1000101;
552 parameter [6:0] TX_MARGIN_FULL_3 = 7'b1000010;
553 parameter [6:0] TX_MARGIN_FULL_4 = 7'b1000000;
554 parameter [6:0] TX_MARGIN_LOW_0 = 7'b1000110;
555 parameter [6:0] TX_MARGIN_LOW_1 = 7'b1000100;
556 parameter [6:0] TX_MARGIN_LOW_2 = 7'b1000010;
557 parameter [6:0] TX_MARGIN_LOW_3 = 7'b1000000;
558 parameter [6:0] TX_MARGIN_LOW_4 = 7'b1000000;
559 parameter [0:0] TX_QPI_STATUS_EN = 1'b0;
560 parameter [13:0] TX_RXDETECT_CFG = 14'h1832;
561 parameter [16:0] TX_RXDETECT_PRECHARGE_TIME = 17'h00000;
562 parameter [2:0] TX_RXDETECT_REF = 3'b100;
563 parameter TX_XCLK_SEL = "TXUSR";
564 parameter [0:0] UCODEER_CLR = 1'b0;
565 parameter [0:0] USE_PCS_CLK_PHASE_SEL = 1'b0;
566 output CPLLFBCLKLOST;
567 output CPLLLOCK;
568 output CPLLREFCLKLOST;
569 output DRPRDY;
570 output EYESCANDATAERROR;
571 output GTHTXN;
572 output GTHTXP;
573 output GTREFCLKMONITOR;
574 output PHYSTATUS;
575 output RSOSINTDONE;
576 output RXBYTEISALIGNED;
577 output RXBYTEREALIGN;
578 output RXCDRLOCK;
579 output RXCHANBONDSEQ;
580 output RXCHANISALIGNED;
581 output RXCHANREALIGN;
582 output RXCOMINITDET;
583 output RXCOMMADET;
584 output RXCOMSASDET;
585 output RXCOMWAKEDET;
586 output RXDFESLIDETAPSTARTED;
587 output RXDFESLIDETAPSTROBEDONE;
588 output RXDFESLIDETAPSTROBESTARTED;
589 output RXDFESTADAPTDONE;
590 output RXDLYSRESETDONE;
591 output RXELECIDLE;
592 output RXOSINTSTARTED;
593 output RXOSINTSTROBEDONE;
594 output RXOSINTSTROBESTARTED;
595 output RXOUTCLK;
596 output RXOUTCLKFABRIC;
597 output RXOUTCLKPCS;
598 output RXPHALIGNDONE;
599 output RXPMARESETDONE;
600 output RXPRBSERR;
601 output RXQPISENN;
602 output RXQPISENP;
603 output RXRATEDONE;
604 output RXRESETDONE;
605 output RXSYNCDONE;
606 output RXSYNCOUT;
607 output RXVALID;
608 output TXCOMFINISH;
609 output TXDLYSRESETDONE;
610 output TXGEARBOXREADY;
611 output TXOUTCLK;
612 output TXOUTCLKFABRIC;
613 output TXOUTCLKPCS;
614 output TXPHALIGNDONE;
615 output TXPHINITDONE;
616 output TXPMARESETDONE;
617 output TXQPISENN;
618 output TXQPISENP;
619 output TXRATEDONE;
620 output TXRESETDONE;
621 output TXSYNCDONE;
622 output TXSYNCOUT;
623 output [14:0] DMONITOROUT;
624 output [15:0] DRPDO;
625 output [15:0] PCSRSVDOUT;
626 output [1:0] RXCLKCORCNT;
627 output [1:0] RXDATAVALID;
628 output [1:0] RXHEADERVALID;
629 output [1:0] RXSTARTOFSEQ;
630 output [1:0] TXBUFSTATUS;
631 output [2:0] RXBUFSTATUS;
632 output [2:0] RXSTATUS;
633 output [4:0] RXCHBONDO;
634 output [4:0] RXPHMONITOR;
635 output [4:0] RXPHSLIPMONITOR;
636 output [5:0] RXHEADER;
637 output [63:0] RXDATA;
638 output [6:0] RXMONITOROUT;
639 output [7:0] RXCHARISCOMMA;
640 output [7:0] RXCHARISK;
641 output [7:0] RXDISPERR;
642 output [7:0] RXNOTINTABLE;
643 input CFGRESET;
644 input CLKRSVD0;
645 input CLKRSVD1;
646 input CPLLLOCKDETCLK;
647 input CPLLLOCKEN;
648 input CPLLPD;
649 input CPLLRESET;
650 input DMONFIFORESET;
651 input DMONITORCLK;
652 input DRPCLK;
653 input DRPEN;
654 input DRPWE;
655 input EYESCANMODE;
656 input EYESCANRESET;
657 input EYESCANTRIGGER;
658 input GTGREFCLK;
659 input GTHRXN;
660 input GTHRXP;
661 input GTNORTHREFCLK0;
662 input GTNORTHREFCLK1;
663 input GTREFCLK0;
664 input GTREFCLK1;
665 input GTRESETSEL;
666 input GTRXRESET;
667 input GTSOUTHREFCLK0;
668 input GTSOUTHREFCLK1;
669 input GTTXRESET;
670 input QPLLCLK;
671 input QPLLREFCLK;
672 input RESETOVRD;
673 input RX8B10BEN;
674 input RXBUFRESET;
675 input RXCDRFREQRESET;
676 input RXCDRHOLD;
677 input RXCDROVRDEN;
678 input RXCDRRESET;
679 input RXCDRRESETRSV;
680 input RXCHBONDEN;
681 input RXCHBONDMASTER;
682 input RXCHBONDSLAVE;
683 input RXCOMMADETEN;
684 input RXDDIEN;
685 input RXDFEAGCHOLD;
686 input RXDFEAGCOVRDEN;
687 input RXDFECM1EN;
688 input RXDFELFHOLD;
689 input RXDFELFOVRDEN;
690 input RXDFELPMRESET;
691 input RXDFESLIDETAPADAPTEN;
692 input RXDFESLIDETAPHOLD;
693 input RXDFESLIDETAPINITOVRDEN;
694 input RXDFESLIDETAPONLYADAPTEN;
695 input RXDFESLIDETAPOVRDEN;
696 input RXDFESLIDETAPSTROBE;
697 input RXDFETAP2HOLD;
698 input RXDFETAP2OVRDEN;
699 input RXDFETAP3HOLD;
700 input RXDFETAP3OVRDEN;
701 input RXDFETAP4HOLD;
702 input RXDFETAP4OVRDEN;
703 input RXDFETAP5HOLD;
704 input RXDFETAP5OVRDEN;
705 input RXDFETAP6HOLD;
706 input RXDFETAP6OVRDEN;
707 input RXDFETAP7HOLD;
708 input RXDFETAP7OVRDEN;
709 input RXDFEUTHOLD;
710 input RXDFEUTOVRDEN;
711 input RXDFEVPHOLD;
712 input RXDFEVPOVRDEN;
713 input RXDFEVSEN;
714 input RXDFEXYDEN;
715 input RXDLYBYPASS;
716 input RXDLYEN;
717 input RXDLYOVRDEN;
718 input RXDLYSRESET;
719 input RXGEARBOXSLIP;
720 input RXLPMEN;
721 input RXLPMHFHOLD;
722 input RXLPMHFOVRDEN;
723 input RXLPMLFHOLD;
724 input RXLPMLFKLOVRDEN;
725 input RXMCOMMAALIGNEN;
726 input RXOOBRESET;
727 input RXOSCALRESET;
728 input RXOSHOLD;
729 input RXOSINTEN;
730 input RXOSINTHOLD;
731 input RXOSINTNTRLEN;
732 input RXOSINTOVRDEN;
733 input RXOSINTSTROBE;
734 input RXOSINTTESTOVRDEN;
735 input RXOSOVRDEN;
736 input RXPCOMMAALIGNEN;
737 input RXPCSRESET;
738 input RXPHALIGN;
739 input RXPHALIGNEN;
740 input RXPHDLYPD;
741 input RXPHDLYRESET;
742 input RXPHOVRDEN;
743 input RXPMARESET;
744 input RXPOLARITY;
745 input RXPRBSCNTRESET;
746 input RXQPIEN;
747 input RXRATEMODE;
748 input RXSLIDE;
749 input RXSYNCALLIN;
750 input RXSYNCIN;
751 input RXSYNCMODE;
752 input RXUSERRDY;
753 input RXUSRCLK2;
754 input RXUSRCLK;
755 input SETERRSTATUS;
756 input SIGVALIDCLK;
757 input TX8B10BEN;
758 input TXCOMINIT;
759 input TXCOMSAS;
760 input TXCOMWAKE;
761 input TXDEEMPH;
762 input TXDETECTRX;
763 input TXDIFFPD;
764 input TXDLYBYPASS;
765 input TXDLYEN;
766 input TXDLYHOLD;
767 input TXDLYOVRDEN;
768 input TXDLYSRESET;
769 input TXDLYUPDOWN;
770 input TXELECIDLE;
771 input TXINHIBIT;
772 input TXPCSRESET;
773 input TXPDELECIDLEMODE;
774 input TXPHALIGN;
775 input TXPHALIGNEN;
776 input TXPHDLYPD;
777 input TXPHDLYRESET;
778 input TXPHDLYTSTCLK;
779 input TXPHINIT;
780 input TXPHOVRDEN;
781 input TXPIPPMEN;
782 input TXPIPPMOVRDEN;
783 input TXPIPPMPD;
784 input TXPIPPMSEL;
785 input TXPISOPD;
786 input TXPMARESET;
787 input TXPOLARITY;
788 input TXPOSTCURSORINV;
789 input TXPRBSFORCEERR;
790 input TXPRECURSORINV;
791 input TXQPIBIASEN;
792 input TXQPISTRONGPDOWN;
793 input TXQPIWEAKPUP;
794 input TXRATEMODE;
795 input TXSTARTSEQ;
796 input TXSWING;
797 input TXSYNCALLIN;
798 input TXSYNCIN;
799 input TXSYNCMODE;
800 input TXUSERRDY;
801 input TXUSRCLK2;
802 input TXUSRCLK;
803 input [13:0] RXADAPTSELTEST;
804 input [15:0] DRPDI;
805 input [15:0] GTRSVD;
806 input [15:0] PCSRSVDIN;
807 input [19:0] TSTIN;
808 input [1:0] RXELECIDLEMODE;
809 input [1:0] RXMONITORSEL;
810 input [1:0] RXPD;
811 input [1:0] RXSYSCLKSEL;
812 input [1:0] TXPD;
813 input [1:0] TXSYSCLKSEL;
814 input [2:0] CPLLREFCLKSEL;
815 input [2:0] LOOPBACK;
816 input [2:0] RXCHBONDLEVEL;
817 input [2:0] RXOUTCLKSEL;
818 input [2:0] RXPRBSSEL;
819 input [2:0] RXRATE;
820 input [2:0] TXBUFDIFFCTRL;
821 input [2:0] TXHEADER;
822 input [2:0] TXMARGIN;
823 input [2:0] TXOUTCLKSEL;
824 input [2:0] TXPRBSSEL;
825 input [2:0] TXRATE;
826 input [3:0] RXOSINTCFG;
827 input [3:0] RXOSINTID0;
828 input [3:0] TXDIFFCTRL;
829 input [4:0] PCSRSVDIN2;
830 input [4:0] PMARSVDIN;
831 input [4:0] RXCHBONDI;
832 input [4:0] RXDFEAGCTRL;
833 input [4:0] RXDFESLIDETAP;
834 input [4:0] TXPIPPMSTEPSIZE;
835 input [4:0] TXPOSTCURSOR;
836 input [4:0] TXPRECURSOR;
837 input [5:0] RXDFESLIDETAPID;
838 input [63:0] TXDATA;
839 input [6:0] TXMAINCURSOR;
840 input [6:0] TXSEQUENCE;
841 input [7:0] TX8B10BBYPASS;
842 input [7:0] TXCHARDISPMODE;
843 input [7:0] TXCHARDISPVAL;
844 input [7:0] TXCHARISK;
845 input [8:0] DRPADDR;
846 endmodule
847
848 module GTHE2_COMMON (...);
849 parameter [63:0] BIAS_CFG = 64'h0000040000001000;
850 parameter [31:0] COMMON_CFG = 32'h0000001C;
851 parameter [0:0] IS_DRPCLK_INVERTED = 1'b0;
852 parameter [0:0] IS_GTGREFCLK_INVERTED = 1'b0;
853 parameter [0:0] IS_QPLLLOCKDETCLK_INVERTED = 1'b0;
854 parameter [26:0] QPLL_CFG = 27'h0480181;
855 parameter [3:0] QPLL_CLKOUT_CFG = 4'b0000;
856 parameter [5:0] QPLL_COARSE_FREQ_OVRD = 6'b010000;
857 parameter [0:0] QPLL_COARSE_FREQ_OVRD_EN = 1'b0;
858 parameter [9:0] QPLL_CP = 10'b0000011111;
859 parameter [0:0] QPLL_CP_MONITOR_EN = 1'b0;
860 parameter [0:0] QPLL_DMONITOR_SEL = 1'b0;
861 parameter [9:0] QPLL_FBDIV = 10'b0000000000;
862 parameter [0:0] QPLL_FBDIV_MONITOR_EN = 1'b0;
863 parameter [0:0] QPLL_FBDIV_RATIO = 1'b0;
864 parameter [23:0] QPLL_INIT_CFG = 24'h000006;
865 parameter [15:0] QPLL_LOCK_CFG = 16'h01E8;
866 parameter [3:0] QPLL_LPF = 4'b1111;
867 parameter integer QPLL_REFCLK_DIV = 2;
868 parameter [0:0] QPLL_RP_COMP = 1'b0;
869 parameter [1:0] QPLL_VTRL_RESET = 2'b00;
870 parameter [1:0] RCAL_CFG = 2'b00;
871 parameter [15:0] RSVD_ATTR0 = 16'h0000;
872 parameter [15:0] RSVD_ATTR1 = 16'h0000;
873 parameter [2:0] SIM_QPLLREFCLK_SEL = 3'b001;
874 parameter SIM_RESET_SPEEDUP = "TRUE";
875 parameter SIM_VERSION = "1.1";
876 output DRPRDY;
877 output QPLLFBCLKLOST;
878 output QPLLLOCK;
879 output QPLLOUTCLK;
880 output QPLLOUTREFCLK;
881 output QPLLREFCLKLOST;
882 output REFCLKOUTMONITOR;
883 output [15:0] DRPDO;
884 output [15:0] PMARSVDOUT;
885 output [7:0] QPLLDMONITOR;
886 input BGBYPASSB;
887 input BGMONITORENB;
888 input BGPDB;
889 input BGRCALOVRDENB;
890 input DRPCLK;
891 input DRPEN;
892 input DRPWE;
893 input GTGREFCLK;
894 input GTNORTHREFCLK0;
895 input GTNORTHREFCLK1;
896 input GTREFCLK0;
897 input GTREFCLK1;
898 input GTSOUTHREFCLK0;
899 input GTSOUTHREFCLK1;
900 input QPLLLOCKDETCLK;
901 input QPLLLOCKEN;
902 input QPLLOUTRESET;
903 input QPLLPD;
904 input QPLLRESET;
905 input RCALENB;
906 input [15:0] DRPDI;
907 input [15:0] QPLLRSVD1;
908 input [2:0] QPLLREFCLKSEL;
909 input [4:0] BGRCALOVRD;
910 input [4:0] QPLLRSVD2;
911 input [7:0] DRPADDR;
912 input [7:0] PMARSVD;
913 endmodule
914
915 module GTPE2_CHANNEL (...);
916 parameter [0:0] ACJTAG_DEBUG_MODE = 1'b0;
917 parameter [0:0] ACJTAG_MODE = 1'b0;
918 parameter [0:0] ACJTAG_RESET = 1'b0;
919 parameter [19:0] ADAPT_CFG0 = 20'b00000000000000000000;
920 parameter ALIGN_COMMA_DOUBLE = "FALSE";
921 parameter [9:0] ALIGN_COMMA_ENABLE = 10'b0001111111;
922 parameter integer ALIGN_COMMA_WORD = 1;
923 parameter ALIGN_MCOMMA_DET = "TRUE";
924 parameter [9:0] ALIGN_MCOMMA_VALUE = 10'b1010000011;
925 parameter ALIGN_PCOMMA_DET = "TRUE";
926 parameter [9:0] ALIGN_PCOMMA_VALUE = 10'b0101111100;
927 parameter CBCC_DATA_SOURCE_SEL = "DECODED";
928 parameter [42:0] CFOK_CFG = 43'b1001001000000000000000001000000111010000000;
929 parameter [6:0] CFOK_CFG2 = 7'b0100000;
930 parameter [6:0] CFOK_CFG3 = 7'b0100000;
931 parameter [0:0] CFOK_CFG4 = 1'b0;
932 parameter [1:0] CFOK_CFG5 = 2'b00;
933 parameter [3:0] CFOK_CFG6 = 4'b0000;
934 parameter CHAN_BOND_KEEP_ALIGN = "FALSE";
935 parameter integer CHAN_BOND_MAX_SKEW = 7;
936 parameter [9:0] CHAN_BOND_SEQ_1_1 = 10'b0101111100;
937 parameter [9:0] CHAN_BOND_SEQ_1_2 = 10'b0000000000;
938 parameter [9:0] CHAN_BOND_SEQ_1_3 = 10'b0000000000;
939 parameter [9:0] CHAN_BOND_SEQ_1_4 = 10'b0000000000;
940 parameter [3:0] CHAN_BOND_SEQ_1_ENABLE = 4'b1111;
941 parameter [9:0] CHAN_BOND_SEQ_2_1 = 10'b0100000000;
942 parameter [9:0] CHAN_BOND_SEQ_2_2 = 10'b0100000000;
943 parameter [9:0] CHAN_BOND_SEQ_2_3 = 10'b0100000000;
944 parameter [9:0] CHAN_BOND_SEQ_2_4 = 10'b0100000000;
945 parameter [3:0] CHAN_BOND_SEQ_2_ENABLE = 4'b1111;
946 parameter CHAN_BOND_SEQ_2_USE = "FALSE";
947 parameter integer CHAN_BOND_SEQ_LEN = 1;
948 parameter [0:0] CLK_COMMON_SWING = 1'b0;
949 parameter CLK_CORRECT_USE = "TRUE";
950 parameter CLK_COR_KEEP_IDLE = "FALSE";
951 parameter integer CLK_COR_MAX_LAT = 20;
952 parameter integer CLK_COR_MIN_LAT = 18;
953 parameter CLK_COR_PRECEDENCE = "TRUE";
954 parameter integer CLK_COR_REPEAT_WAIT = 0;
955 parameter [9:0] CLK_COR_SEQ_1_1 = 10'b0100011100;
956 parameter [9:0] CLK_COR_SEQ_1_2 = 10'b0000000000;
957 parameter [9:0] CLK_COR_SEQ_1_3 = 10'b0000000000;
958 parameter [9:0] CLK_COR_SEQ_1_4 = 10'b0000000000;
959 parameter [3:0] CLK_COR_SEQ_1_ENABLE = 4'b1111;
960 parameter [9:0] CLK_COR_SEQ_2_1 = 10'b0100000000;
961 parameter [9:0] CLK_COR_SEQ_2_2 = 10'b0100000000;
962 parameter [9:0] CLK_COR_SEQ_2_3 = 10'b0100000000;
963 parameter [9:0] CLK_COR_SEQ_2_4 = 10'b0100000000;
964 parameter [3:0] CLK_COR_SEQ_2_ENABLE = 4'b1111;
965 parameter CLK_COR_SEQ_2_USE = "FALSE";
966 parameter integer CLK_COR_SEQ_LEN = 1;
967 parameter DEC_MCOMMA_DETECT = "TRUE";
968 parameter DEC_PCOMMA_DETECT = "TRUE";
969 parameter DEC_VALID_COMMA_ONLY = "TRUE";
970 parameter [23:0] DMONITOR_CFG = 24'h000A00;
971 parameter [0:0] ES_CLK_PHASE_SEL = 1'b0;
972 parameter [5:0] ES_CONTROL = 6'b000000;
973 parameter ES_ERRDET_EN = "FALSE";
974 parameter ES_EYE_SCAN_EN = "FALSE";
975 parameter [11:0] ES_HORZ_OFFSET = 12'h010;
976 parameter [9:0] ES_PMA_CFG = 10'b0000000000;
977 parameter [4:0] ES_PRESCALE = 5'b00000;
978 parameter [79:0] ES_QUALIFIER = 80'h00000000000000000000;
979 parameter [79:0] ES_QUAL_MASK = 80'h00000000000000000000;
980 parameter [79:0] ES_SDATA_MASK = 80'h00000000000000000000;
981 parameter [8:0] ES_VERT_OFFSET = 9'b000000000;
982 parameter [3:0] FTS_DESKEW_SEQ_ENABLE = 4'b1111;
983 parameter [3:0] FTS_LANE_DESKEW_CFG = 4'b1111;
984 parameter FTS_LANE_DESKEW_EN = "FALSE";
985 parameter [2:0] GEARBOX_MODE = 3'b000;
986 parameter [0:0] IS_CLKRSVD0_INVERTED = 1'b0;
987 parameter [0:0] IS_CLKRSVD1_INVERTED = 1'b0;
988 parameter [0:0] IS_DMONITORCLK_INVERTED = 1'b0;
989 parameter [0:0] IS_DRPCLK_INVERTED = 1'b0;
990 parameter [0:0] IS_RXUSRCLK2_INVERTED = 1'b0;
991 parameter [0:0] IS_RXUSRCLK_INVERTED = 1'b0;
992 parameter [0:0] IS_SIGVALIDCLK_INVERTED = 1'b0;
993 parameter [0:0] IS_TXPHDLYTSTCLK_INVERTED = 1'b0;
994 parameter [0:0] IS_TXUSRCLK2_INVERTED = 1'b0;
995 parameter [0:0] IS_TXUSRCLK_INVERTED = 1'b0;
996 parameter [0:0] LOOPBACK_CFG = 1'b0;
997 parameter [1:0] OUTREFCLK_SEL_INV = 2'b11;
998 parameter PCS_PCIE_EN = "FALSE";
999 parameter [47:0] PCS_RSVD_ATTR = 48'h000000000000;
1000 parameter [11:0] PD_TRANS_TIME_FROM_P2 = 12'h03C;
1001 parameter [7:0] PD_TRANS_TIME_NONE_P2 = 8'h19;
1002 parameter [7:0] PD_TRANS_TIME_TO_P2 = 8'h64;
1003 parameter [0:0] PMA_LOOPBACK_CFG = 1'b0;
1004 parameter [31:0] PMA_RSV = 32'h00000333;
1005 parameter [31:0] PMA_RSV2 = 32'h00002050;
1006 parameter [1:0] PMA_RSV3 = 2'b00;
1007 parameter [3:0] PMA_RSV4 = 4'b0000;
1008 parameter [0:0] PMA_RSV5 = 1'b0;
1009 parameter [0:0] PMA_RSV6 = 1'b0;
1010 parameter [0:0] PMA_RSV7 = 1'b0;
1011 parameter [4:0] RXBUFRESET_TIME = 5'b00001;
1012 parameter RXBUF_ADDR_MODE = "FULL";
1013 parameter [3:0] RXBUF_EIDLE_HI_CNT = 4'b1000;
1014 parameter [3:0] RXBUF_EIDLE_LO_CNT = 4'b0000;
1015 parameter RXBUF_EN = "TRUE";
1016 parameter RXBUF_RESET_ON_CB_CHANGE = "TRUE";
1017 parameter RXBUF_RESET_ON_COMMAALIGN = "FALSE";
1018 parameter RXBUF_RESET_ON_EIDLE = "FALSE";
1019 parameter RXBUF_RESET_ON_RATE_CHANGE = "TRUE";
1020 parameter integer RXBUF_THRESH_OVFLW = 61;
1021 parameter RXBUF_THRESH_OVRD = "FALSE";
1022 parameter integer RXBUF_THRESH_UNDFLW = 4;
1023 parameter [4:0] RXCDRFREQRESET_TIME = 5'b00001;
1024 parameter [4:0] RXCDRPHRESET_TIME = 5'b00001;
1025 parameter [82:0] RXCDR_CFG = 83'h0000107FE406001041010;
1026 parameter [0:0] RXCDR_FR_RESET_ON_EIDLE = 1'b0;
1027 parameter [0:0] RXCDR_HOLD_DURING_EIDLE = 1'b0;
1028 parameter [5:0] RXCDR_LOCK_CFG = 6'b001001;
1029 parameter [0:0] RXCDR_PH_RESET_ON_EIDLE = 1'b0;
1030 parameter [15:0] RXDLY_CFG = 16'h0010;
1031 parameter [8:0] RXDLY_LCFG = 9'h020;
1032 parameter [15:0] RXDLY_TAP_CFG = 16'h0000;
1033 parameter RXGEARBOX_EN = "FALSE";
1034 parameter [4:0] RXISCANRESET_TIME = 5'b00001;
1035 parameter [6:0] RXLPMRESET_TIME = 7'b0001111;
1036 parameter [0:0] RXLPM_BIAS_STARTUP_DISABLE = 1'b0;
1037 parameter [3:0] RXLPM_CFG = 4'b0110;
1038 parameter [0:0] RXLPM_CFG1 = 1'b0;
1039 parameter [0:0] RXLPM_CM_CFG = 1'b0;
1040 parameter [8:0] RXLPM_GC_CFG = 9'b111100010;
1041 parameter [2:0] RXLPM_GC_CFG2 = 3'b001;
1042 parameter [13:0] RXLPM_HF_CFG = 14'b00001111110000;
1043 parameter [4:0] RXLPM_HF_CFG2 = 5'b01010;
1044 parameter [3:0] RXLPM_HF_CFG3 = 4'b0000;
1045 parameter [0:0] RXLPM_HOLD_DURING_EIDLE = 1'b0;
1046 parameter [0:0] RXLPM_INCM_CFG = 1'b0;
1047 parameter [0:0] RXLPM_IPCM_CFG = 1'b0;
1048 parameter [17:0] RXLPM_LF_CFG = 18'b000000001111110000;
1049 parameter [4:0] RXLPM_LF_CFG2 = 5'b01010;
1050 parameter [2:0] RXLPM_OSINT_CFG = 3'b100;
1051 parameter [6:0] RXOOB_CFG = 7'b0000110;
1052 parameter RXOOB_CLK_CFG = "PMA";
1053 parameter [4:0] RXOSCALRESET_TIME = 5'b00011;
1054 parameter [4:0] RXOSCALRESET_TIMEOUT = 5'b00000;
1055 parameter integer RXOUT_DIV = 2;
1056 parameter [4:0] RXPCSRESET_TIME = 5'b00001;
1057 parameter [23:0] RXPHDLY_CFG = 24'h084000;
1058 parameter [23:0] RXPH_CFG = 24'hC00002;
1059 parameter [4:0] RXPH_MONITOR_SEL = 5'b00000;
1060 parameter [2:0] RXPI_CFG0 = 3'b000;
1061 parameter [0:0] RXPI_CFG1 = 1'b0;
1062 parameter [0:0] RXPI_CFG2 = 1'b0;
1063 parameter [4:0] RXPMARESET_TIME = 5'b00011;
1064 parameter [0:0] RXPRBS_ERR_LOOPBACK = 1'b0;
1065 parameter integer RXSLIDE_AUTO_WAIT = 7;
1066 parameter RXSLIDE_MODE = "OFF";
1067 parameter [0:0] RXSYNC_MULTILANE = 1'b0;
1068 parameter [0:0] RXSYNC_OVRD = 1'b0;
1069 parameter [0:0] RXSYNC_SKIP_DA = 1'b0;
1070 parameter [15:0] RX_BIAS_CFG = 16'b0000111100110011;
1071 parameter [5:0] RX_BUFFER_CFG = 6'b000000;
1072 parameter integer RX_CLK25_DIV = 7;
1073 parameter [0:0] RX_CLKMUX_EN = 1'b1;
1074 parameter [1:0] RX_CM_SEL = 2'b11;
1075 parameter [3:0] RX_CM_TRIM = 4'b0100;
1076 parameter integer RX_DATA_WIDTH = 20;
1077 parameter [5:0] RX_DDI_SEL = 6'b000000;
1078 parameter [13:0] RX_DEBUG_CFG = 14'b00000000000000;
1079 parameter RX_DEFER_RESET_BUF_EN = "TRUE";
1080 parameter RX_DISPERR_SEQ_MATCH = "TRUE";
1081 parameter [12:0] RX_OS_CFG = 13'b0001111110000;
1082 parameter integer RX_SIG_VALID_DLY = 10;
1083 parameter RX_XCLK_SEL = "RXREC";
1084 parameter integer SAS_MAX_COM = 64;
1085 parameter integer SAS_MIN_COM = 36;
1086 parameter [3:0] SATA_BURST_SEQ_LEN = 4'b1111;
1087 parameter [2:0] SATA_BURST_VAL = 3'b100;
1088 parameter [2:0] SATA_EIDLE_VAL = 3'b100;
1089 parameter integer SATA_MAX_BURST = 8;
1090 parameter integer SATA_MAX_INIT = 21;
1091 parameter integer SATA_MAX_WAKE = 7;
1092 parameter integer SATA_MIN_BURST = 4;
1093 parameter integer SATA_MIN_INIT = 12;
1094 parameter integer SATA_MIN_WAKE = 4;
1095 parameter SATA_PLL_CFG = "VCO_3000MHZ";
1096 parameter SHOW_REALIGN_COMMA = "TRUE";
1097 parameter SIM_RECEIVER_DETECT_PASS = "TRUE";
1098 parameter SIM_RESET_SPEEDUP = "TRUE";
1099 parameter SIM_TX_EIDLE_DRIVE_LEVEL = "X";
1100 parameter SIM_VERSION = "1.0";
1101 parameter [14:0] TERM_RCAL_CFG = 15'b100001000010000;
1102 parameter [2:0] TERM_RCAL_OVRD = 3'b000;
1103 parameter [7:0] TRANS_TIME_RATE = 8'h0E;
1104 parameter [31:0] TST_RSV = 32'h00000000;
1105 parameter TXBUF_EN = "TRUE";
1106 parameter TXBUF_RESET_ON_RATE_CHANGE = "FALSE";
1107 parameter [15:0] TXDLY_CFG = 16'h0010;
1108 parameter [8:0] TXDLY_LCFG = 9'h020;
1109 parameter [15:0] TXDLY_TAP_CFG = 16'h0000;
1110 parameter TXGEARBOX_EN = "FALSE";
1111 parameter [0:0] TXOOB_CFG = 1'b0;
1112 parameter integer TXOUT_DIV = 2;
1113 parameter [4:0] TXPCSRESET_TIME = 5'b00001;
1114 parameter [23:0] TXPHDLY_CFG = 24'h084000;
1115 parameter [15:0] TXPH_CFG = 16'h0400;
1116 parameter [4:0] TXPH_MONITOR_SEL = 5'b00000;
1117 parameter [1:0] TXPI_CFG0 = 2'b00;
1118 parameter [1:0] TXPI_CFG1 = 2'b00;
1119 parameter [1:0] TXPI_CFG2 = 2'b00;
1120 parameter [0:0] TXPI_CFG3 = 1'b0;
1121 parameter [0:0] TXPI_CFG4 = 1'b0;
1122 parameter [2:0] TXPI_CFG5 = 3'b000;
1123 parameter [0:0] TXPI_GREY_SEL = 1'b0;
1124 parameter [0:0] TXPI_INVSTROBE_SEL = 1'b0;
1125 parameter TXPI_PPMCLK_SEL = "TXUSRCLK2";
1126 parameter [7:0] TXPI_PPM_CFG = 8'b00000000;
1127 parameter [2:0] TXPI_SYNFREQ_PPM = 3'b000;
1128 parameter [4:0] TXPMARESET_TIME = 5'b00001;
1129 parameter [0:0] TXSYNC_MULTILANE = 1'b0;
1130 parameter [0:0] TXSYNC_OVRD = 1'b0;
1131 parameter [0:0] TXSYNC_SKIP_DA = 1'b0;
1132 parameter integer TX_CLK25_DIV = 7;
1133 parameter [0:0] TX_CLKMUX_EN = 1'b1;
1134 parameter integer TX_DATA_WIDTH = 20;
1135 parameter [5:0] TX_DEEMPH0 = 6'b000000;
1136 parameter [5:0] TX_DEEMPH1 = 6'b000000;
1137 parameter TX_DRIVE_MODE = "DIRECT";
1138 parameter [2:0] TX_EIDLE_ASSERT_DELAY = 3'b110;
1139 parameter [2:0] TX_EIDLE_DEASSERT_DELAY = 3'b100;
1140 parameter TX_LOOPBACK_DRIVE_HIZ = "FALSE";
1141 parameter [0:0] TX_MAINCURSOR_SEL = 1'b0;
1142 parameter [6:0] TX_MARGIN_FULL_0 = 7'b1001110;
1143 parameter [6:0] TX_MARGIN_FULL_1 = 7'b1001001;
1144 parameter [6:0] TX_MARGIN_FULL_2 = 7'b1000101;
1145 parameter [6:0] TX_MARGIN_FULL_3 = 7'b1000010;
1146 parameter [6:0] TX_MARGIN_FULL_4 = 7'b1000000;
1147 parameter [6:0] TX_MARGIN_LOW_0 = 7'b1000110;
1148 parameter [6:0] TX_MARGIN_LOW_1 = 7'b1000100;
1149 parameter [6:0] TX_MARGIN_LOW_2 = 7'b1000010;
1150 parameter [6:0] TX_MARGIN_LOW_3 = 7'b1000000;
1151 parameter [6:0] TX_MARGIN_LOW_4 = 7'b1000000;
1152 parameter [0:0] TX_PREDRIVER_MODE = 1'b0;
1153 parameter [13:0] TX_RXDETECT_CFG = 14'h1832;
1154 parameter [2:0] TX_RXDETECT_REF = 3'b100;
1155 parameter TX_XCLK_SEL = "TXUSR";
1156 parameter [0:0] UCODEER_CLR = 1'b0;
1157 parameter [0:0] USE_PCS_CLK_PHASE_SEL = 1'b0;
1158 output DRPRDY;
1159 output EYESCANDATAERROR;
1160 output GTPTXN;
1161 output GTPTXP;
1162 output PHYSTATUS;
1163 output PMARSVDOUT0;
1164 output PMARSVDOUT1;
1165 output RXBYTEISALIGNED;
1166 output RXBYTEREALIGN;
1167 output RXCDRLOCK;
1168 output RXCHANBONDSEQ;
1169 output RXCHANISALIGNED;
1170 output RXCHANREALIGN;
1171 output RXCOMINITDET;
1172 output RXCOMMADET;
1173 output RXCOMSASDET;
1174 output RXCOMWAKEDET;
1175 output RXDLYSRESETDONE;
1176 output RXELECIDLE;
1177 output RXHEADERVALID;
1178 output RXOSINTDONE;
1179 output RXOSINTSTARTED;
1180 output RXOSINTSTROBEDONE;
1181 output RXOSINTSTROBESTARTED;
1182 output RXOUTCLK;
1183 output RXOUTCLKFABRIC;
1184 output RXOUTCLKPCS;
1185 output RXPHALIGNDONE;
1186 output RXPMARESETDONE;
1187 output RXPRBSERR;
1188 output RXRATEDONE;
1189 output RXRESETDONE;
1190 output RXSYNCDONE;
1191 output RXSYNCOUT;
1192 output RXVALID;
1193 output TXCOMFINISH;
1194 output TXDLYSRESETDONE;
1195 output TXGEARBOXREADY;
1196 output TXOUTCLK;
1197 output TXOUTCLKFABRIC;
1198 output TXOUTCLKPCS;
1199 output TXPHALIGNDONE;
1200 output TXPHINITDONE;
1201 output TXPMARESETDONE;
1202 output TXRATEDONE;
1203 output TXRESETDONE;
1204 output TXSYNCDONE;
1205 output TXSYNCOUT;
1206 output [14:0] DMONITOROUT;
1207 output [15:0] DRPDO;
1208 output [15:0] PCSRSVDOUT;
1209 output [1:0] RXCLKCORCNT;
1210 output [1:0] RXDATAVALID;
1211 output [1:0] RXSTARTOFSEQ;
1212 output [1:0] TXBUFSTATUS;
1213 output [2:0] RXBUFSTATUS;
1214 output [2:0] RXHEADER;
1215 output [2:0] RXSTATUS;
1216 output [31:0] RXDATA;
1217 output [3:0] RXCHARISCOMMA;
1218 output [3:0] RXCHARISK;
1219 output [3:0] RXCHBONDO;
1220 output [3:0] RXDISPERR;
1221 output [3:0] RXNOTINTABLE;
1222 output [4:0] RXPHMONITOR;
1223 output [4:0] RXPHSLIPMONITOR;
1224 input CFGRESET;
1225 input CLKRSVD0;
1226 input CLKRSVD1;
1227 input DMONFIFORESET;
1228 input DMONITORCLK;
1229 input DRPCLK;
1230 input DRPEN;
1231 input DRPWE;
1232 input EYESCANMODE;
1233 input EYESCANRESET;
1234 input EYESCANTRIGGER;
1235 input GTPRXN;
1236 input GTPRXP;
1237 input GTRESETSEL;
1238 input GTRXRESET;
1239 input GTTXRESET;
1240 input PLL0CLK;
1241 input PLL0REFCLK;
1242 input PLL1CLK;
1243 input PLL1REFCLK;
1244 input PMARSVDIN0;
1245 input PMARSVDIN1;
1246 input PMARSVDIN2;
1247 input PMARSVDIN3;
1248 input PMARSVDIN4;
1249 input RESETOVRD;
1250 input RX8B10BEN;
1251 input RXBUFRESET;
1252 input RXCDRFREQRESET;
1253 input RXCDRHOLD;
1254 input RXCDROVRDEN;
1255 input RXCDRRESET;
1256 input RXCDRRESETRSV;
1257 input RXCHBONDEN;
1258 input RXCHBONDMASTER;
1259 input RXCHBONDSLAVE;
1260 input RXCOMMADETEN;
1261 input RXDDIEN;
1262 input RXDFEXYDEN;
1263 input RXDLYBYPASS;
1264 input RXDLYEN;
1265 input RXDLYOVRDEN;
1266 input RXDLYSRESET;
1267 input RXGEARBOXSLIP;
1268 input RXLPMHFHOLD;
1269 input RXLPMHFOVRDEN;
1270 input RXLPMLFHOLD;
1271 input RXLPMLFOVRDEN;
1272 input RXLPMOSINTNTRLEN;
1273 input RXLPMRESET;
1274 input RXMCOMMAALIGNEN;
1275 input RXOOBRESET;
1276 input RXOSCALRESET;
1277 input RXOSHOLD;
1278 input RXOSINTEN;
1279 input RXOSINTHOLD;
1280 input RXOSINTNTRLEN;
1281 input RXOSINTOVRDEN;
1282 input RXOSINTPD;
1283 input RXOSINTSTROBE;
1284 input RXOSINTTESTOVRDEN;
1285 input RXOSOVRDEN;
1286 input RXPCOMMAALIGNEN;
1287 input RXPCSRESET;
1288 input RXPHALIGN;
1289 input RXPHALIGNEN;
1290 input RXPHDLYPD;
1291 input RXPHDLYRESET;
1292 input RXPHOVRDEN;
1293 input RXPMARESET;
1294 input RXPOLARITY;
1295 input RXPRBSCNTRESET;
1296 input RXRATEMODE;
1297 input RXSLIDE;
1298 input RXSYNCALLIN;
1299 input RXSYNCIN;
1300 input RXSYNCMODE;
1301 input RXUSERRDY;
1302 input RXUSRCLK2;
1303 input RXUSRCLK;
1304 input SETERRSTATUS;
1305 input SIGVALIDCLK;
1306 input TX8B10BEN;
1307 input TXCOMINIT;
1308 input TXCOMSAS;
1309 input TXCOMWAKE;
1310 input TXDEEMPH;
1311 input TXDETECTRX;
1312 input TXDIFFPD;
1313 input TXDLYBYPASS;
1314 input TXDLYEN;
1315 input TXDLYHOLD;
1316 input TXDLYOVRDEN;
1317 input TXDLYSRESET;
1318 input TXDLYUPDOWN;
1319 input TXELECIDLE;
1320 input TXINHIBIT;
1321 input TXPCSRESET;
1322 input TXPDELECIDLEMODE;
1323 input TXPHALIGN;
1324 input TXPHALIGNEN;
1325 input TXPHDLYPD;
1326 input TXPHDLYRESET;
1327 input TXPHDLYTSTCLK;
1328 input TXPHINIT;
1329 input TXPHOVRDEN;
1330 input TXPIPPMEN;
1331 input TXPIPPMOVRDEN;
1332 input TXPIPPMPD;
1333 input TXPIPPMSEL;
1334 input TXPISOPD;
1335 input TXPMARESET;
1336 input TXPOLARITY;
1337 input TXPOSTCURSORINV;
1338 input TXPRBSFORCEERR;
1339 input TXPRECURSORINV;
1340 input TXRATEMODE;
1341 input TXSTARTSEQ;
1342 input TXSWING;
1343 input TXSYNCALLIN;
1344 input TXSYNCIN;
1345 input TXSYNCMODE;
1346 input TXUSERRDY;
1347 input TXUSRCLK2;
1348 input TXUSRCLK;
1349 input [13:0] RXADAPTSELTEST;
1350 input [15:0] DRPDI;
1351 input [15:0] GTRSVD;
1352 input [15:0] PCSRSVDIN;
1353 input [19:0] TSTIN;
1354 input [1:0] RXELECIDLEMODE;
1355 input [1:0] RXPD;
1356 input [1:0] RXSYSCLKSEL;
1357 input [1:0] TXPD;
1358 input [1:0] TXSYSCLKSEL;
1359 input [2:0] LOOPBACK;
1360 input [2:0] RXCHBONDLEVEL;
1361 input [2:0] RXOUTCLKSEL;
1362 input [2:0] RXPRBSSEL;
1363 input [2:0] RXRATE;
1364 input [2:0] TXBUFDIFFCTRL;
1365 input [2:0] TXHEADER;
1366 input [2:0] TXMARGIN;
1367 input [2:0] TXOUTCLKSEL;
1368 input [2:0] TXPRBSSEL;
1369 input [2:0] TXRATE;
1370 input [31:0] TXDATA;
1371 input [3:0] RXCHBONDI;
1372 input [3:0] RXOSINTCFG;
1373 input [3:0] RXOSINTID0;
1374 input [3:0] TX8B10BBYPASS;
1375 input [3:0] TXCHARDISPMODE;
1376 input [3:0] TXCHARDISPVAL;
1377 input [3:0] TXCHARISK;
1378 input [3:0] TXDIFFCTRL;
1379 input [4:0] TXPIPPMSTEPSIZE;
1380 input [4:0] TXPOSTCURSOR;
1381 input [4:0] TXPRECURSOR;
1382 input [6:0] TXMAINCURSOR;
1383 input [6:0] TXSEQUENCE;
1384 input [8:0] DRPADDR;
1385 endmodule
1386
1387 module GTPE2_COMMON (...);
1388 parameter [63:0] BIAS_CFG = 64'h0000000000000000;
1389 parameter [31:0] COMMON_CFG = 32'h00000000;
1390 parameter [0:0] IS_DRPCLK_INVERTED = 1'b0;
1391 parameter [0:0] IS_GTGREFCLK0_INVERTED = 1'b0;
1392 parameter [0:0] IS_GTGREFCLK1_INVERTED = 1'b0;
1393 parameter [0:0] IS_PLL0LOCKDETCLK_INVERTED = 1'b0;
1394 parameter [0:0] IS_PLL1LOCKDETCLK_INVERTED = 1'b0;
1395 parameter [26:0] PLL0_CFG = 27'h01F03DC;
1396 parameter [0:0] PLL0_DMON_CFG = 1'b0;
1397 parameter integer PLL0_FBDIV = 4;
1398 parameter integer PLL0_FBDIV_45 = 5;
1399 parameter [23:0] PLL0_INIT_CFG = 24'h00001E;
1400 parameter [8:0] PLL0_LOCK_CFG = 9'h1E8;
1401 parameter integer PLL0_REFCLK_DIV = 1;
1402 parameter [26:0] PLL1_CFG = 27'h01F03DC;
1403 parameter [0:0] PLL1_DMON_CFG = 1'b0;
1404 parameter integer PLL1_FBDIV = 4;
1405 parameter integer PLL1_FBDIV_45 = 5;
1406 parameter [23:0] PLL1_INIT_CFG = 24'h00001E;
1407 parameter [8:0] PLL1_LOCK_CFG = 9'h1E8;
1408 parameter integer PLL1_REFCLK_DIV = 1;
1409 parameter [7:0] PLL_CLKOUT_CFG = 8'b00000000;
1410 parameter [15:0] RSVD_ATTR0 = 16'h0000;
1411 parameter [15:0] RSVD_ATTR1 = 16'h0000;
1412 parameter [2:0] SIM_PLL0REFCLK_SEL = 3'b001;
1413 parameter [2:0] SIM_PLL1REFCLK_SEL = 3'b001;
1414 parameter SIM_RESET_SPEEDUP = "TRUE";
1415 parameter SIM_VERSION = "1.0";
1416 output DRPRDY;
1417 output PLL0FBCLKLOST;
1418 output PLL0LOCK;
1419 output PLL0OUTCLK;
1420 output PLL0OUTREFCLK;
1421 output PLL0REFCLKLOST;
1422 output PLL1FBCLKLOST;
1423 output PLL1LOCK;
1424 output PLL1OUTCLK;
1425 output PLL1OUTREFCLK;
1426 output PLL1REFCLKLOST;
1427 output REFCLKOUTMONITOR0;
1428 output REFCLKOUTMONITOR1;
1429 output [15:0] DRPDO;
1430 output [15:0] PMARSVDOUT;
1431 output [7:0] DMONITOROUT;
1432 input BGBYPASSB;
1433 input BGMONITORENB;
1434 input BGPDB;
1435 input BGRCALOVRDENB;
1436 input DRPCLK;
1437 input DRPEN;
1438 input DRPWE;
1439 input GTEASTREFCLK0;
1440 input GTEASTREFCLK1;
1441 input GTGREFCLK0;
1442 input GTGREFCLK1;
1443 input GTREFCLK0;
1444 input GTREFCLK1;
1445 input GTWESTREFCLK0;
1446 input GTWESTREFCLK1;
1447 input PLL0LOCKDETCLK;
1448 input PLL0LOCKEN;
1449 input PLL0PD;
1450 input PLL0RESET;
1451 input PLL1LOCKDETCLK;
1452 input PLL1LOCKEN;
1453 input PLL1PD;
1454 input PLL1RESET;
1455 input RCALENB;
1456 input [15:0] DRPDI;
1457 input [15:0] PLLRSVD1;
1458 input [2:0] PLL0REFCLKSEL;
1459 input [2:0] PLL1REFCLKSEL;
1460 input [4:0] BGRCALOVRD;
1461 input [4:0] PLLRSVD2;
1462 input [7:0] DRPADDR;
1463 input [7:0] PMARSVD;
1464 endmodule
1465
1466 module GTXE2_CHANNEL (...);
1467 parameter ALIGN_COMMA_DOUBLE = "FALSE";
1468 parameter [9:0] ALIGN_COMMA_ENABLE = 10'b0001111111;
1469 parameter integer ALIGN_COMMA_WORD = 1;
1470 parameter ALIGN_MCOMMA_DET = "TRUE";
1471 parameter [9:0] ALIGN_MCOMMA_VALUE = 10'b1010000011;
1472 parameter ALIGN_PCOMMA_DET = "TRUE";
1473 parameter [9:0] ALIGN_PCOMMA_VALUE = 10'b0101111100;
1474 parameter CBCC_DATA_SOURCE_SEL = "DECODED";
1475 parameter CHAN_BOND_KEEP_ALIGN = "FALSE";
1476 parameter integer CHAN_BOND_MAX_SKEW = 7;
1477 parameter [9:0] CHAN_BOND_SEQ_1_1 = 10'b0101111100;
1478 parameter [9:0] CHAN_BOND_SEQ_1_2 = 10'b0000000000;
1479 parameter [9:0] CHAN_BOND_SEQ_1_3 = 10'b0000000000;
1480 parameter [9:0] CHAN_BOND_SEQ_1_4 = 10'b0000000000;
1481 parameter [3:0] CHAN_BOND_SEQ_1_ENABLE = 4'b1111;
1482 parameter [9:0] CHAN_BOND_SEQ_2_1 = 10'b0100000000;
1483 parameter [9:0] CHAN_BOND_SEQ_2_2 = 10'b0100000000;
1484 parameter [9:0] CHAN_BOND_SEQ_2_3 = 10'b0100000000;
1485 parameter [9:0] CHAN_BOND_SEQ_2_4 = 10'b0100000000;
1486 parameter [3:0] CHAN_BOND_SEQ_2_ENABLE = 4'b1111;
1487 parameter CHAN_BOND_SEQ_2_USE = "FALSE";
1488 parameter integer CHAN_BOND_SEQ_LEN = 1;
1489 parameter CLK_CORRECT_USE = "TRUE";
1490 parameter CLK_COR_KEEP_IDLE = "FALSE";
1491 parameter integer CLK_COR_MAX_LAT = 20;
1492 parameter integer CLK_COR_MIN_LAT = 18;
1493 parameter CLK_COR_PRECEDENCE = "TRUE";
1494 parameter integer CLK_COR_REPEAT_WAIT = 0;
1495 parameter [9:0] CLK_COR_SEQ_1_1 = 10'b0100011100;
1496 parameter [9:0] CLK_COR_SEQ_1_2 = 10'b0000000000;
1497 parameter [9:0] CLK_COR_SEQ_1_3 = 10'b0000000000;
1498 parameter [9:0] CLK_COR_SEQ_1_4 = 10'b0000000000;
1499 parameter [3:0] CLK_COR_SEQ_1_ENABLE = 4'b1111;
1500 parameter [9:0] CLK_COR_SEQ_2_1 = 10'b0100000000;
1501 parameter [9:0] CLK_COR_SEQ_2_2 = 10'b0100000000;
1502 parameter [9:0] CLK_COR_SEQ_2_3 = 10'b0100000000;
1503 parameter [9:0] CLK_COR_SEQ_2_4 = 10'b0100000000;
1504 parameter [3:0] CLK_COR_SEQ_2_ENABLE = 4'b1111;
1505 parameter CLK_COR_SEQ_2_USE = "FALSE";
1506 parameter integer CLK_COR_SEQ_LEN = 1;
1507 parameter [23:0] CPLL_CFG = 24'hB007D8;
1508 parameter integer CPLL_FBDIV = 4;
1509 parameter integer CPLL_FBDIV_45 = 5;
1510 parameter [23:0] CPLL_INIT_CFG = 24'h00001E;
1511 parameter [15:0] CPLL_LOCK_CFG = 16'h01E8;
1512 parameter integer CPLL_REFCLK_DIV = 1;
1513 parameter DEC_MCOMMA_DETECT = "TRUE";
1514 parameter DEC_PCOMMA_DETECT = "TRUE";
1515 parameter DEC_VALID_COMMA_ONLY = "TRUE";
1516 parameter [23:0] DMONITOR_CFG = 24'h000A00;
1517 parameter [5:0] ES_CONTROL = 6'b000000;
1518 parameter ES_ERRDET_EN = "FALSE";
1519 parameter ES_EYE_SCAN_EN = "FALSE";
1520 parameter [11:0] ES_HORZ_OFFSET = 12'h000;
1521 parameter [9:0] ES_PMA_CFG = 10'b0000000000;
1522 parameter [4:0] ES_PRESCALE = 5'b00000;
1523 parameter [79:0] ES_QUALIFIER = 80'h00000000000000000000;
1524 parameter [79:0] ES_QUAL_MASK = 80'h00000000000000000000;
1525 parameter [79:0] ES_SDATA_MASK = 80'h00000000000000000000;
1526 parameter [8:0] ES_VERT_OFFSET = 9'b000000000;
1527 parameter [3:0] FTS_DESKEW_SEQ_ENABLE = 4'b1111;
1528 parameter [3:0] FTS_LANE_DESKEW_CFG = 4'b1111;
1529 parameter FTS_LANE_DESKEW_EN = "FALSE";
1530 parameter [2:0] GEARBOX_MODE = 3'b000;
1531 parameter [0:0] IS_CPLLLOCKDETCLK_INVERTED = 1'b0;
1532 parameter [0:0] IS_DRPCLK_INVERTED = 1'b0;
1533 parameter [0:0] IS_GTGREFCLK_INVERTED = 1'b0;
1534 parameter [0:0] IS_RXUSRCLK2_INVERTED = 1'b0;
1535 parameter [0:0] IS_RXUSRCLK_INVERTED = 1'b0;
1536 parameter [0:0] IS_TXPHDLYTSTCLK_INVERTED = 1'b0;
1537 parameter [0:0] IS_TXUSRCLK2_INVERTED = 1'b0;
1538 parameter [0:0] IS_TXUSRCLK_INVERTED = 1'b0;
1539 parameter [1:0] OUTREFCLK_SEL_INV = 2'b11;
1540 parameter PCS_PCIE_EN = "FALSE";
1541 parameter [47:0] PCS_RSVD_ATTR = 48'h000000000000;
1542 parameter [11:0] PD_TRANS_TIME_FROM_P2 = 12'h03C;
1543 parameter [7:0] PD_TRANS_TIME_NONE_P2 = 8'h19;
1544 parameter [7:0] PD_TRANS_TIME_TO_P2 = 8'h64;
1545 parameter [31:0] PMA_RSV = 32'h00000000;
1546 parameter [15:0] PMA_RSV2 = 16'h2050;
1547 parameter [1:0] PMA_RSV3 = 2'b00;
1548 parameter [31:0] PMA_RSV4 = 32'h00000000;
1549 parameter [4:0] RXBUFRESET_TIME = 5'b00001;
1550 parameter RXBUF_ADDR_MODE = "FULL";
1551 parameter [3:0] RXBUF_EIDLE_HI_CNT = 4'b1000;
1552 parameter [3:0] RXBUF_EIDLE_LO_CNT = 4'b0000;
1553 parameter RXBUF_EN = "TRUE";
1554 parameter RXBUF_RESET_ON_CB_CHANGE = "TRUE";
1555 parameter RXBUF_RESET_ON_COMMAALIGN = "FALSE";
1556 parameter RXBUF_RESET_ON_EIDLE = "FALSE";
1557 parameter RXBUF_RESET_ON_RATE_CHANGE = "TRUE";
1558 parameter integer RXBUF_THRESH_OVFLW = 61;
1559 parameter RXBUF_THRESH_OVRD = "FALSE";
1560 parameter integer RXBUF_THRESH_UNDFLW = 4;
1561 parameter [4:0] RXCDRFREQRESET_TIME = 5'b00001;
1562 parameter [4:0] RXCDRPHRESET_TIME = 5'b00001;
1563 parameter [71:0] RXCDR_CFG = 72'h0B000023FF20400020;
1564 parameter [0:0] RXCDR_FR_RESET_ON_EIDLE = 1'b0;
1565 parameter [0:0] RXCDR_HOLD_DURING_EIDLE = 1'b0;
1566 parameter [5:0] RXCDR_LOCK_CFG = 6'b010101;
1567 parameter [0:0] RXCDR_PH_RESET_ON_EIDLE = 1'b0;
1568 parameter [6:0] RXDFELPMRESET_TIME = 7'b0001111;
1569 parameter [15:0] RXDLY_CFG = 16'h001F;
1570 parameter [8:0] RXDLY_LCFG = 9'h030;
1571 parameter [15:0] RXDLY_TAP_CFG = 16'h0000;
1572 parameter RXGEARBOX_EN = "FALSE";
1573 parameter [4:0] RXISCANRESET_TIME = 5'b00001;
1574 parameter [13:0] RXLPM_HF_CFG = 14'b00000011110000;
1575 parameter [13:0] RXLPM_LF_CFG = 14'b00000011110000;
1576 parameter [6:0] RXOOB_CFG = 7'b0000110;
1577 parameter integer RXOUT_DIV = 2;
1578 parameter [4:0] RXPCSRESET_TIME = 5'b00001;
1579 parameter [23:0] RXPHDLY_CFG = 24'h084020;
1580 parameter [23:0] RXPH_CFG = 24'h000000;
1581 parameter [4:0] RXPH_MONITOR_SEL = 5'b00000;
1582 parameter [4:0] RXPMARESET_TIME = 5'b00011;
1583 parameter [0:0] RXPRBS_ERR_LOOPBACK = 1'b0;
1584 parameter integer RXSLIDE_AUTO_WAIT = 7;
1585 parameter RXSLIDE_MODE = "OFF";
1586 parameter [11:0] RX_BIAS_CFG = 12'b000000000000;
1587 parameter [5:0] RX_BUFFER_CFG = 6'b000000;
1588 parameter integer RX_CLK25_DIV = 7;
1589 parameter [0:0] RX_CLKMUX_PD = 1'b1;
1590 parameter [1:0] RX_CM_SEL = 2'b11;
1591 parameter [2:0] RX_CM_TRIM = 3'b100;
1592 parameter integer RX_DATA_WIDTH = 20;
1593 parameter [5:0] RX_DDI_SEL = 6'b000000;
1594 parameter [11:0] RX_DEBUG_CFG = 12'b000000000000;
1595 parameter RX_DEFER_RESET_BUF_EN = "TRUE";
1596 parameter [22:0] RX_DFE_GAIN_CFG = 23'h180E0F;
1597 parameter [11:0] RX_DFE_H2_CFG = 12'b000111100000;
1598 parameter [11:0] RX_DFE_H3_CFG = 12'b000111100000;
1599 parameter [10:0] RX_DFE_H4_CFG = 11'b00011110000;
1600 parameter [10:0] RX_DFE_H5_CFG = 11'b00011110000;
1601 parameter [12:0] RX_DFE_KL_CFG = 13'b0001111110000;
1602 parameter [31:0] RX_DFE_KL_CFG2 = 32'h3008E56A;
1603 parameter [15:0] RX_DFE_LPM_CFG = 16'h0904;
1604 parameter [0:0] RX_DFE_LPM_HOLD_DURING_EIDLE = 1'b0;
1605 parameter [16:0] RX_DFE_UT_CFG = 17'b00111111000000000;
1606 parameter [16:0] RX_DFE_VP_CFG = 17'b00011111100000000;
1607 parameter [12:0] RX_DFE_XYD_CFG = 13'b0000000010000;
1608 parameter RX_DISPERR_SEQ_MATCH = "TRUE";
1609 parameter integer RX_INT_DATAWIDTH = 0;
1610 parameter [12:0] RX_OS_CFG = 13'b0001111110000;
1611 parameter integer RX_SIG_VALID_DLY = 10;
1612 parameter RX_XCLK_SEL = "RXREC";
1613 parameter integer SAS_MAX_COM = 64;
1614 parameter integer SAS_MIN_COM = 36;
1615 parameter [3:0] SATA_BURST_SEQ_LEN = 4'b1111;
1616 parameter [2:0] SATA_BURST_VAL = 3'b100;
1617 parameter SATA_CPLL_CFG = "VCO_3000MHZ";
1618 parameter [2:0] SATA_EIDLE_VAL = 3'b100;
1619 parameter integer SATA_MAX_BURST = 8;
1620 parameter integer SATA_MAX_INIT = 21;
1621 parameter integer SATA_MAX_WAKE = 7;
1622 parameter integer SATA_MIN_BURST = 4;
1623 parameter integer SATA_MIN_INIT = 12;
1624 parameter integer SATA_MIN_WAKE = 4;
1625 parameter SHOW_REALIGN_COMMA = "TRUE";
1626 parameter [2:0] SIM_CPLLREFCLK_SEL = 3'b001;
1627 parameter SIM_RECEIVER_DETECT_PASS = "TRUE";
1628 parameter SIM_RESET_SPEEDUP = "TRUE";
1629 parameter SIM_TX_EIDLE_DRIVE_LEVEL = "X";
1630 parameter SIM_VERSION = "4.0";
1631 parameter [4:0] TERM_RCAL_CFG = 5'b10000;
1632 parameter [0:0] TERM_RCAL_OVRD = 1'b0;
1633 parameter [7:0] TRANS_TIME_RATE = 8'h0E;
1634 parameter [31:0] TST_RSV = 32'h00000000;
1635 parameter TXBUF_EN = "TRUE";
1636 parameter TXBUF_RESET_ON_RATE_CHANGE = "FALSE";
1637 parameter [15:0] TXDLY_CFG = 16'h001F;
1638 parameter [8:0] TXDLY_LCFG = 9'h030;
1639 parameter [15:0] TXDLY_TAP_CFG = 16'h0000;
1640 parameter TXGEARBOX_EN = "FALSE";
1641 parameter integer TXOUT_DIV = 2;
1642 parameter [4:0] TXPCSRESET_TIME = 5'b00001;
1643 parameter [23:0] TXPHDLY_CFG = 24'h084020;
1644 parameter [15:0] TXPH_CFG = 16'h0780;
1645 parameter [4:0] TXPH_MONITOR_SEL = 5'b00000;
1646 parameter [4:0] TXPMARESET_TIME = 5'b00001;
1647 parameter integer TX_CLK25_DIV = 7;
1648 parameter [0:0] TX_CLKMUX_PD = 1'b1;
1649 parameter integer TX_DATA_WIDTH = 20;
1650 parameter [4:0] TX_DEEMPH0 = 5'b00000;
1651 parameter [4:0] TX_DEEMPH1 = 5'b00000;
1652 parameter TX_DRIVE_MODE = "DIRECT";
1653 parameter [2:0] TX_EIDLE_ASSERT_DELAY = 3'b110;
1654 parameter [2:0] TX_EIDLE_DEASSERT_DELAY = 3'b100;
1655 parameter integer TX_INT_DATAWIDTH = 0;
1656 parameter TX_LOOPBACK_DRIVE_HIZ = "FALSE";
1657 parameter [0:0] TX_MAINCURSOR_SEL = 1'b0;
1658 parameter [6:0] TX_MARGIN_FULL_0 = 7'b1001110;
1659 parameter [6:0] TX_MARGIN_FULL_1 = 7'b1001001;
1660 parameter [6:0] TX_MARGIN_FULL_2 = 7'b1000101;
1661 parameter [6:0] TX_MARGIN_FULL_3 = 7'b1000010;
1662 parameter [6:0] TX_MARGIN_FULL_4 = 7'b1000000;
1663 parameter [6:0] TX_MARGIN_LOW_0 = 7'b1000110;
1664 parameter [6:0] TX_MARGIN_LOW_1 = 7'b1000100;
1665 parameter [6:0] TX_MARGIN_LOW_2 = 7'b1000010;
1666 parameter [6:0] TX_MARGIN_LOW_3 = 7'b1000000;
1667 parameter [6:0] TX_MARGIN_LOW_4 = 7'b1000000;
1668 parameter [0:0] TX_PREDRIVER_MODE = 1'b0;
1669 parameter [0:0] TX_QPI_STATUS_EN = 1'b0;
1670 parameter [13:0] TX_RXDETECT_CFG = 14'h1832;
1671 parameter [2:0] TX_RXDETECT_REF = 3'b100;
1672 parameter TX_XCLK_SEL = "TXUSR";
1673 parameter [0:0] UCODEER_CLR = 1'b0;
1674 output CPLLFBCLKLOST;
1675 output CPLLLOCK;
1676 output CPLLREFCLKLOST;
1677 output DRPRDY;
1678 output EYESCANDATAERROR;
1679 output GTREFCLKMONITOR;
1680 output GTXTXN;
1681 output GTXTXP;
1682 output PHYSTATUS;
1683 output RXBYTEISALIGNED;
1684 output RXBYTEREALIGN;
1685 output RXCDRLOCK;
1686 output RXCHANBONDSEQ;
1687 output RXCHANISALIGNED;
1688 output RXCHANREALIGN;
1689 output RXCOMINITDET;
1690 output RXCOMMADET;
1691 output RXCOMSASDET;
1692 output RXCOMWAKEDET;
1693 output RXDATAVALID;
1694 output RXDLYSRESETDONE;
1695 output RXELECIDLE;
1696 output RXHEADERVALID;
1697 output RXOUTCLK;
1698 output RXOUTCLKFABRIC;
1699 output RXOUTCLKPCS;
1700 output RXPHALIGNDONE;
1701 output RXPRBSERR;
1702 output RXQPISENN;
1703 output RXQPISENP;
1704 output RXRATEDONE;
1705 output RXRESETDONE;
1706 output RXSTARTOFSEQ;
1707 output RXVALID;
1708 output TXCOMFINISH;
1709 output TXDLYSRESETDONE;
1710 output TXGEARBOXREADY;
1711 output TXOUTCLK;
1712 output TXOUTCLKFABRIC;
1713 output TXOUTCLKPCS;
1714 output TXPHALIGNDONE;
1715 output TXPHINITDONE;
1716 output TXQPISENN;
1717 output TXQPISENP;
1718 output TXRATEDONE;
1719 output TXRESETDONE;
1720 output [15:0] DRPDO;
1721 output [15:0] PCSRSVDOUT;
1722 output [1:0] RXCLKCORCNT;
1723 output [1:0] TXBUFSTATUS;
1724 output [2:0] RXBUFSTATUS;
1725 output [2:0] RXHEADER;
1726 output [2:0] RXSTATUS;
1727 output [4:0] RXCHBONDO;
1728 output [4:0] RXPHMONITOR;
1729 output [4:0] RXPHSLIPMONITOR;
1730 output [63:0] RXDATA;
1731 output [6:0] RXMONITOROUT;
1732 output [7:0] DMONITOROUT;
1733 output [7:0] RXCHARISCOMMA;
1734 output [7:0] RXCHARISK;
1735 output [7:0] RXDISPERR;
1736 output [7:0] RXNOTINTABLE;
1737 output [9:0] TSTOUT;
1738 input CFGRESET;
1739 input CPLLLOCKDETCLK;
1740 input CPLLLOCKEN;
1741 input CPLLPD;
1742 input CPLLRESET;
1743 input DRPCLK;
1744 input DRPEN;
1745 input DRPWE;
1746 input EYESCANMODE;
1747 input EYESCANRESET;
1748 input EYESCANTRIGGER;
1749 input GTGREFCLK;
1750 input GTNORTHREFCLK0;
1751 input GTNORTHREFCLK1;
1752 input GTREFCLK0;
1753 input GTREFCLK1;
1754 input GTRESETSEL;
1755 input GTRXRESET;
1756 input GTSOUTHREFCLK0;
1757 input GTSOUTHREFCLK1;
1758 input GTTXRESET;
1759 input GTXRXN;
1760 input GTXRXP;
1761 input QPLLCLK;
1762 input QPLLREFCLK;
1763 input RESETOVRD;
1764 input RX8B10BEN;
1765 input RXBUFRESET;
1766 input RXCDRFREQRESET;
1767 input RXCDRHOLD;
1768 input RXCDROVRDEN;
1769 input RXCDRRESET;
1770 input RXCDRRESETRSV;
1771 input RXCHBONDEN;
1772 input RXCHBONDMASTER;
1773 input RXCHBONDSLAVE;
1774 input RXCOMMADETEN;
1775 input RXDDIEN;
1776 input RXDFEAGCHOLD;
1777 input RXDFEAGCOVRDEN;
1778 input RXDFECM1EN;
1779 input RXDFELFHOLD;
1780 input RXDFELFOVRDEN;
1781 input RXDFELPMRESET;
1782 input RXDFETAP2HOLD;
1783 input RXDFETAP2OVRDEN;
1784 input RXDFETAP3HOLD;
1785 input RXDFETAP3OVRDEN;
1786 input RXDFETAP4HOLD;
1787 input RXDFETAP4OVRDEN;
1788 input RXDFETAP5HOLD;
1789 input RXDFETAP5OVRDEN;
1790 input RXDFEUTHOLD;
1791 input RXDFEUTOVRDEN;
1792 input RXDFEVPHOLD;
1793 input RXDFEVPOVRDEN;
1794 input RXDFEVSEN;
1795 input RXDFEXYDEN;
1796 input RXDFEXYDHOLD;
1797 input RXDFEXYDOVRDEN;
1798 input RXDLYBYPASS;
1799 input RXDLYEN;
1800 input RXDLYOVRDEN;
1801 input RXDLYSRESET;
1802 input RXGEARBOXSLIP;
1803 input RXLPMEN;
1804 input RXLPMHFHOLD;
1805 input RXLPMHFOVRDEN;
1806 input RXLPMLFHOLD;
1807 input RXLPMLFKLOVRDEN;
1808 input RXMCOMMAALIGNEN;
1809 input RXOOBRESET;
1810 input RXOSHOLD;
1811 input RXOSOVRDEN;
1812 input RXPCOMMAALIGNEN;
1813 input RXPCSRESET;
1814 input RXPHALIGN;
1815 input RXPHALIGNEN;
1816 input RXPHDLYPD;
1817 input RXPHDLYRESET;
1818 input RXPHOVRDEN;
1819 input RXPMARESET;
1820 input RXPOLARITY;
1821 input RXPRBSCNTRESET;
1822 input RXQPIEN;
1823 input RXSLIDE;
1824 input RXUSERRDY;
1825 input RXUSRCLK2;
1826 input RXUSRCLK;
1827 input SETERRSTATUS;
1828 input TX8B10BEN;
1829 input TXCOMINIT;
1830 input TXCOMSAS;
1831 input TXCOMWAKE;
1832 input TXDEEMPH;
1833 input TXDETECTRX;
1834 input TXDIFFPD;
1835 input TXDLYBYPASS;
1836 input TXDLYEN;
1837 input TXDLYHOLD;
1838 input TXDLYOVRDEN;
1839 input TXDLYSRESET;
1840 input TXDLYUPDOWN;
1841 input TXELECIDLE;
1842 input TXINHIBIT;
1843 input TXPCSRESET;
1844 input TXPDELECIDLEMODE;
1845 input TXPHALIGN;
1846 input TXPHALIGNEN;
1847 input TXPHDLYPD;
1848 input TXPHDLYRESET;
1849 input TXPHDLYTSTCLK;
1850 input TXPHINIT;
1851 input TXPHOVRDEN;
1852 input TXPISOPD;
1853 input TXPMARESET;
1854 input TXPOLARITY;
1855 input TXPOSTCURSORINV;
1856 input TXPRBSFORCEERR;
1857 input TXPRECURSORINV;
1858 input TXQPIBIASEN;
1859 input TXQPISTRONGPDOWN;
1860 input TXQPIWEAKPUP;
1861 input TXSTARTSEQ;
1862 input TXSWING;
1863 input TXUSERRDY;
1864 input TXUSRCLK2;
1865 input TXUSRCLK;
1866 input [15:0] DRPDI;
1867 input [15:0] GTRSVD;
1868 input [15:0] PCSRSVDIN;
1869 input [19:0] TSTIN;
1870 input [1:0] RXELECIDLEMODE;
1871 input [1:0] RXMONITORSEL;
1872 input [1:0] RXPD;
1873 input [1:0] RXSYSCLKSEL;
1874 input [1:0] TXPD;
1875 input [1:0] TXSYSCLKSEL;
1876 input [2:0] CPLLREFCLKSEL;
1877 input [2:0] LOOPBACK;
1878 input [2:0] RXCHBONDLEVEL;
1879 input [2:0] RXOUTCLKSEL;
1880 input [2:0] RXPRBSSEL;
1881 input [2:0] RXRATE;
1882 input [2:0] TXBUFDIFFCTRL;
1883 input [2:0] TXHEADER;
1884 input [2:0] TXMARGIN;
1885 input [2:0] TXOUTCLKSEL;
1886 input [2:0] TXPRBSSEL;
1887 input [2:0] TXRATE;
1888 input [3:0] CLKRSVD;
1889 input [3:0] TXDIFFCTRL;
1890 input [4:0] PCSRSVDIN2;
1891 input [4:0] PMARSVDIN2;
1892 input [4:0] PMARSVDIN;
1893 input [4:0] RXCHBONDI;
1894 input [4:0] TXPOSTCURSOR;
1895 input [4:0] TXPRECURSOR;
1896 input [63:0] TXDATA;
1897 input [6:0] TXMAINCURSOR;
1898 input [6:0] TXSEQUENCE;
1899 input [7:0] TX8B10BBYPASS;
1900 input [7:0] TXCHARDISPMODE;
1901 input [7:0] TXCHARDISPVAL;
1902 input [7:0] TXCHARISK;
1903 input [8:0] DRPADDR;
1904 endmodule
1905
1906 module GTXE2_COMMON (...);
1907 parameter [63:0] BIAS_CFG = 64'h0000040000001000;
1908 parameter [31:0] COMMON_CFG = 32'h00000000;
1909 parameter [0:0] IS_DRPCLK_INVERTED = 1'b0;
1910 parameter [0:0] IS_GTGREFCLK_INVERTED = 1'b0;
1911 parameter [0:0] IS_QPLLLOCKDETCLK_INVERTED = 1'b0;
1912 parameter [26:0] QPLL_CFG = 27'h0680181;
1913 parameter [3:0] QPLL_CLKOUT_CFG = 4'b0000;
1914 parameter [5:0] QPLL_COARSE_FREQ_OVRD = 6'b010000;
1915 parameter [0:0] QPLL_COARSE_FREQ_OVRD_EN = 1'b0;
1916 parameter [9:0] QPLL_CP = 10'b0000011111;
1917 parameter [0:0] QPLL_CP_MONITOR_EN = 1'b0;
1918 parameter [0:0] QPLL_DMONITOR_SEL = 1'b0;
1919 parameter [9:0] QPLL_FBDIV = 10'b0000000000;
1920 parameter [0:0] QPLL_FBDIV_MONITOR_EN = 1'b0;
1921 parameter [0:0] QPLL_FBDIV_RATIO = 1'b0;
1922 parameter [23:0] QPLL_INIT_CFG = 24'h000006;
1923 parameter [15:0] QPLL_LOCK_CFG = 16'h21E8;
1924 parameter [3:0] QPLL_LPF = 4'b1111;
1925 parameter integer QPLL_REFCLK_DIV = 2;
1926 parameter [2:0] SIM_QPLLREFCLK_SEL = 3'b001;
1927 parameter SIM_RESET_SPEEDUP = "TRUE";
1928 parameter SIM_VERSION = "4.0";
1929 output DRPRDY;
1930 output QPLLFBCLKLOST;
1931 output QPLLLOCK;
1932 output QPLLOUTCLK;
1933 output QPLLOUTREFCLK;
1934 output QPLLREFCLKLOST;
1935 output REFCLKOUTMONITOR;
1936 output [15:0] DRPDO;
1937 output [7:0] QPLLDMONITOR;
1938 input BGBYPASSB;
1939 input BGMONITORENB;
1940 input BGPDB;
1941 input DRPCLK;
1942 input DRPEN;
1943 input DRPWE;
1944 input GTGREFCLK;
1945 input GTNORTHREFCLK0;
1946 input GTNORTHREFCLK1;
1947 input GTREFCLK0;
1948 input GTREFCLK1;
1949 input GTSOUTHREFCLK0;
1950 input GTSOUTHREFCLK1;
1951 input QPLLLOCKDETCLK;
1952 input QPLLLOCKEN;
1953 input QPLLOUTRESET;
1954 input QPLLPD;
1955 input QPLLRESET;
1956 input RCALENB;
1957 input [15:0] DRPDI;
1958 input [15:0] QPLLRSVD1;
1959 input [2:0] QPLLREFCLKSEL;
1960 input [4:0] BGRCALOVRD;
1961 input [4:0] QPLLRSVD2;
1962 input [7:0] DRPADDR;
1963 input [7:0] PMARSVD;
1964 endmodule
1965
1966 module IBUF_IBUFDISABLE (...);
1967 parameter IBUF_LOW_PWR = "TRUE";
1968 parameter IOSTANDARD = "DEFAULT";
1969 parameter SIM_DEVICE = "7SERIES";
1970 parameter USE_IBUFDISABLE = "TRUE";
1971 output O;
1972 input I;
1973 input IBUFDISABLE;
1974 endmodule
1975
1976 module IBUF_INTERMDISABLE (...);
1977 parameter IBUF_LOW_PWR = "TRUE";
1978 parameter IOSTANDARD = "DEFAULT";
1979 parameter SIM_DEVICE = "7SERIES";
1980 parameter USE_IBUFDISABLE = "TRUE";
1981 output O;
1982 input I;
1983 input IBUFDISABLE;
1984 input INTERMDISABLE;
1985 endmodule
1986
1987 module IBUFDS (...);
1988 parameter CAPACITANCE = "DONT_CARE";
1989 parameter DIFF_TERM = "FALSE";
1990 parameter DQS_BIAS = "FALSE";
1991 parameter IBUF_DELAY_VALUE = "0";
1992 parameter IBUF_LOW_PWR = "TRUE";
1993 parameter IFD_DELAY_VALUE = "AUTO";
1994 parameter IOSTANDARD = "DEFAULT";
1995 output O;
1996 input I, IB;
1997 endmodule
1998
1999 module IBUFDS_DIFF_OUT (...);
2000 parameter DIFF_TERM = "FALSE";
2001 parameter DQS_BIAS = "FALSE";
2002 parameter IBUF_LOW_PWR = "TRUE";
2003 parameter IOSTANDARD = "DEFAULT";
2004 output O, OB;
2005 input I, IB;
2006 endmodule
2007
2008 module IBUFDS_DIFF_OUT_IBUFDISABLE (...);
2009 parameter DIFF_TERM = "FALSE";
2010 parameter DQS_BIAS = "FALSE";
2011 parameter IBUF_LOW_PWR = "TRUE";
2012 parameter IOSTANDARD = "DEFAULT";
2013 parameter SIM_DEVICE = "7SERIES";
2014 parameter USE_IBUFDISABLE = "TRUE";
2015 output O;
2016 output OB;
2017 input I;
2018 input IB;
2019 input IBUFDISABLE;
2020 endmodule
2021
2022 module IBUFDS_DIFF_OUT_INTERMDISABLE (...);
2023 parameter DIFF_TERM = "FALSE";
2024 parameter DQS_BIAS = "FALSE";
2025 parameter IBUF_LOW_PWR = "TRUE";
2026 parameter IOSTANDARD = "DEFAULT";
2027 parameter SIM_DEVICE = "7SERIES";
2028 parameter USE_IBUFDISABLE = "TRUE";
2029 output O;
2030 output OB;
2031 input I;
2032 input IB;
2033 input IBUFDISABLE;
2034 input INTERMDISABLE;
2035 endmodule
2036
2037 module IBUFDS_GTE2 (...);
2038 parameter CLKCM_CFG = "TRUE";
2039 parameter CLKRCV_TRST = "TRUE";
2040 parameter CLKSWING_CFG = "TRUE";
2041 output O;
2042 output ODIV2;
2043 input CEB;
2044 input I;
2045 input IB;
2046 endmodule
2047
2048 module IBUFDS_IBUFDISABLE (...);
2049 parameter DIFF_TERM = "FALSE";
2050 parameter DQS_BIAS = "FALSE";
2051 parameter IBUF_LOW_PWR = "TRUE";
2052 parameter IOSTANDARD = "DEFAULT";
2053 parameter SIM_DEVICE = "7SERIES";
2054 parameter USE_IBUFDISABLE = "TRUE";
2055 output O;
2056 input I;
2057 input IB;
2058 input IBUFDISABLE;
2059 endmodule
2060
2061 module IBUFDS_INTERMDISABLE (...);
2062 parameter DIFF_TERM = "FALSE";
2063 parameter DQS_BIAS = "FALSE";
2064 parameter IBUF_LOW_PWR = "TRUE";
2065 parameter IOSTANDARD = "DEFAULT";
2066 parameter SIM_DEVICE = "7SERIES";
2067 parameter USE_IBUFDISABLE = "TRUE";
2068 output O;
2069 input I;
2070 input IB;
2071 input IBUFDISABLE;
2072 input INTERMDISABLE;
2073 endmodule
2074
2075 (* keep *)
2076 module ICAPE2 (...);
2077 parameter [31:0] DEVICE_ID = 32'h04244093;
2078 parameter ICAP_WIDTH = "X32";
2079 parameter SIM_CFG_FILE_NAME = "NONE";
2080 output [31:0] O;
2081 input CLK;
2082 input CSIB;
2083 input RDWRB;
2084 input [31:0] I;
2085 endmodule
2086
2087 module IDDR (...);
2088 parameter DDR_CLK_EDGE = "OPPOSITE_EDGE";
2089 parameter INIT_Q1 = 1'b0;
2090 parameter INIT_Q2 = 1'b0;
2091 parameter [0:0] IS_C_INVERTED = 1'b0;
2092 parameter [0:0] IS_D_INVERTED = 1'b0;
2093 parameter SRTYPE = "SYNC";
2094 parameter MSGON = "TRUE";
2095 parameter XON = "TRUE";
2096 output Q1;
2097 output Q2;
2098 input C;
2099 input CE;
2100 input D;
2101 input R;
2102 input S;
2103 endmodule
2104
2105 module IDDR_2CLK (...);
2106 parameter DDR_CLK_EDGE = "OPPOSITE_EDGE";
2107 parameter INIT_Q1 = 1'b0;
2108 parameter INIT_Q2 = 1'b0;
2109 parameter [0:0] IS_CB_INVERTED = 1'b0;
2110 parameter [0:0] IS_C_INVERTED = 1'b0;
2111 parameter [0:0] IS_D_INVERTED = 1'b0;
2112 parameter SRTYPE = "SYNC";
2113 output Q1;
2114 output Q2;
2115 input C;
2116 input CB;
2117 input CE;
2118 input D;
2119 input R;
2120 input S;
2121 endmodule
2122
2123 (* keep *)
2124 module IDELAYCTRL (...);
2125 parameter SIM_DEVICE = "7SERIES";
2126 output RDY;
2127 input REFCLK;
2128 input RST;
2129 endmodule
2130
2131 module IDELAYE2 (...);
2132 parameter CINVCTRL_SEL = "FALSE";
2133 parameter DELAY_SRC = "IDATAIN";
2134 parameter HIGH_PERFORMANCE_MODE = "FALSE";
2135 parameter IDELAY_TYPE = "FIXED";
2136 parameter integer IDELAY_VALUE = 0;
2137 parameter [0:0] IS_C_INVERTED = 1'b0;
2138 parameter [0:0] IS_DATAIN_INVERTED = 1'b0;
2139 parameter [0:0] IS_IDATAIN_INVERTED = 1'b0;
2140 parameter PIPE_SEL = "FALSE";
2141 parameter real REFCLK_FREQUENCY = 200.0;
2142 parameter SIGNAL_PATTERN = "DATA";
2143 parameter integer SIM_DELAY_D = 0;
2144 output [4:0] CNTVALUEOUT;
2145 output DATAOUT;
2146 input C;
2147 input CE;
2148 input CINVCTRL;
2149 input [4:0] CNTVALUEIN;
2150 input DATAIN;
2151 input IDATAIN;
2152 input INC;
2153 input LD;
2154 input LDPIPEEN;
2155 input REGRST;
2156 endmodule
2157
2158 module IN_FIFO (...);
2159 parameter integer ALMOST_EMPTY_VALUE = 1;
2160 parameter integer ALMOST_FULL_VALUE = 1;
2161 parameter ARRAY_MODE = "ARRAY_MODE_4_X_8";
2162 parameter SYNCHRONOUS_MODE = "FALSE";
2163 output ALMOSTEMPTY;
2164 output ALMOSTFULL;
2165 output EMPTY;
2166 output FULL;
2167 output [7:0] Q0;
2168 output [7:0] Q1;
2169 output [7:0] Q2;
2170 output [7:0] Q3;
2171 output [7:0] Q4;
2172 output [7:0] Q5;
2173 output [7:0] Q6;
2174 output [7:0] Q7;
2175 output [7:0] Q8;
2176 output [7:0] Q9;
2177 input RDCLK;
2178 input RDEN;
2179 input RESET;
2180 input WRCLK;
2181 input WREN;
2182 input [3:0] D0;
2183 input [3:0] D1;
2184 input [3:0] D2;
2185 input [3:0] D3;
2186 input [3:0] D4;
2187 input [3:0] D7;
2188 input [3:0] D8;
2189 input [3:0] D9;
2190 input [7:0] D5;
2191 input [7:0] D6;
2192 endmodule
2193
2194 module IOBUF (...);
2195 parameter integer DRIVE = 12;
2196 parameter IBUF_LOW_PWR = "TRUE";
2197 parameter IOSTANDARD = "DEFAULT";
2198 parameter SLEW = "SLOW";
2199 output O;
2200 inout IO;
2201 input I, T;
2202 endmodule
2203
2204 module IOBUF_DCIEN (...);
2205 parameter integer DRIVE = 12;
2206 parameter IBUF_LOW_PWR = "TRUE";
2207 parameter IOSTANDARD = "DEFAULT";
2208 parameter SIM_DEVICE = "7SERIES";
2209 parameter SLEW = "SLOW";
2210 parameter USE_IBUFDISABLE = "TRUE";
2211 output O;
2212 inout IO;
2213 input DCITERMDISABLE;
2214 input I;
2215 input IBUFDISABLE;
2216 input T;
2217 endmodule
2218
2219 module IOBUF_INTERMDISABLE (...);
2220 parameter integer DRIVE = 12;
2221 parameter IBUF_LOW_PWR = "TRUE";
2222 parameter IOSTANDARD = "DEFAULT";
2223 parameter SIM_DEVICE = "7SERIES";
2224 parameter SLEW = "SLOW";
2225 parameter USE_IBUFDISABLE = "TRUE";
2226 output O;
2227 inout IO;
2228 input I;
2229 input IBUFDISABLE;
2230 input INTERMDISABLE;
2231 input T;
2232 endmodule
2233
2234 module IOBUFDS (...);
2235 parameter DIFF_TERM = "FALSE";
2236 parameter DQS_BIAS = "FALSE";
2237 parameter IBUF_LOW_PWR = "TRUE";
2238 parameter IOSTANDARD = "DEFAULT";
2239 parameter SLEW = "SLOW";
2240 output O;
2241 inout IO, IOB;
2242 input I, T;
2243 endmodule
2244
2245 module IOBUFDS_DCIEN (...);
2246 parameter DIFF_TERM = "FALSE";
2247 parameter DQS_BIAS = "FALSE";
2248 parameter IBUF_LOW_PWR = "TRUE";
2249 parameter IOSTANDARD = "DEFAULT";
2250 parameter SIM_DEVICE = "7SERIES";
2251 parameter SLEW = "SLOW";
2252 parameter USE_IBUFDISABLE = "TRUE";
2253 output O;
2254 inout IO;
2255 inout IOB;
2256 input DCITERMDISABLE;
2257 input I;
2258 input IBUFDISABLE;
2259 input T;
2260 endmodule
2261
2262 module IOBUFDS_DIFF_OUT (...);
2263 parameter DIFF_TERM = "FALSE";
2264 parameter DQS_BIAS = "FALSE";
2265 parameter IBUF_LOW_PWR = "TRUE";
2266 parameter IOSTANDARD = "DEFAULT";
2267 output O;
2268 output OB;
2269 inout IO;
2270 inout IOB;
2271 input I;
2272 input TM;
2273 input TS;
2274 endmodule
2275
2276 module IOBUFDS_DIFF_OUT_DCIEN (...);
2277 parameter DIFF_TERM = "FALSE";
2278 parameter DQS_BIAS = "FALSE";
2279 parameter IBUF_LOW_PWR = "TRUE";
2280 parameter IOSTANDARD = "DEFAULT";
2281 parameter SIM_DEVICE = "7SERIES";
2282 parameter USE_IBUFDISABLE = "TRUE";
2283 output O;
2284 output OB;
2285 inout IO;
2286 inout IOB;
2287 input DCITERMDISABLE;
2288 input I;
2289 input IBUFDISABLE;
2290 input TM;
2291 input TS;
2292 endmodule
2293
2294 module IOBUFDS_DIFF_OUT_INTERMDISABLE (...);
2295 parameter DIFF_TERM = "FALSE";
2296 parameter DQS_BIAS = "FALSE";
2297 parameter IBUF_LOW_PWR = "TRUE";
2298 parameter IOSTANDARD = "DEFAULT";
2299 parameter SIM_DEVICE = "7SERIES";
2300 parameter USE_IBUFDISABLE = "TRUE";
2301 output O;
2302 output OB;
2303 inout IO;
2304 inout IOB;
2305 input I;
2306 input IBUFDISABLE;
2307 input INTERMDISABLE;
2308 input TM;
2309 input TS;
2310 endmodule
2311
2312 module ISERDESE2 (...);
2313 parameter DATA_RATE = "DDR";
2314 parameter integer DATA_WIDTH = 4;
2315 parameter DYN_CLKDIV_INV_EN = "FALSE";
2316 parameter DYN_CLK_INV_EN = "FALSE";
2317 parameter [0:0] INIT_Q1 = 1'b0;
2318 parameter [0:0] INIT_Q2 = 1'b0;
2319 parameter [0:0] INIT_Q3 = 1'b0;
2320 parameter [0:0] INIT_Q4 = 1'b0;
2321 parameter INTERFACE_TYPE = "MEMORY";
2322 parameter IOBDELAY = "NONE";
2323 parameter [0:0] IS_CLKB_INVERTED = 1'b0;
2324 parameter [0:0] IS_CLKDIVP_INVERTED = 1'b0;
2325 parameter [0:0] IS_CLKDIV_INVERTED = 1'b0;
2326 parameter [0:0] IS_CLK_INVERTED = 1'b0;
2327 parameter [0:0] IS_D_INVERTED = 1'b0;
2328 parameter [0:0] IS_OCLKB_INVERTED = 1'b0;
2329 parameter [0:0] IS_OCLK_INVERTED = 1'b0;
2330 parameter integer NUM_CE = 2;
2331 parameter OFB_USED = "FALSE";
2332 parameter SERDES_MODE = "MASTER";
2333 parameter [0:0] SRVAL_Q1 = 1'b0;
2334 parameter [0:0] SRVAL_Q2 = 1'b0;
2335 parameter [0:0] SRVAL_Q3 = 1'b0;
2336 parameter [0:0] SRVAL_Q4 = 1'b0;
2337 output O;
2338 output Q1;
2339 output Q2;
2340 output Q3;
2341 output Q4;
2342 output Q5;
2343 output Q6;
2344 output Q7;
2345 output Q8;
2346 output SHIFTOUT1;
2347 output SHIFTOUT2;
2348 input BITSLIP;
2349 input CE1;
2350 input CE2;
2351 input CLK;
2352 input CLKB;
2353 input CLKDIV;
2354 input CLKDIVP;
2355 input D;
2356 input DDLY;
2357 input DYNCLKDIVSEL;
2358 input DYNCLKSEL;
2359 input OCLK;
2360 input OCLKB;
2361 input OFB;
2362 input RST;
2363 input SHIFTIN1;
2364 input SHIFTIN2;
2365 endmodule
2366
2367 module KEEPER (...);
2368 inout O;
2369 endmodule
2370
2371 module LDCE (...);
2372 parameter [0:0] INIT = 1'b0;
2373 parameter [0:0] IS_CLR_INVERTED = 1'b0;
2374 parameter [0:0] IS_G_INVERTED = 1'b0;
2375 parameter MSGON = "TRUE";
2376 parameter XON = "TRUE";
2377 output Q;
2378 input CLR, D, G, GE;
2379 endmodule
2380
2381 module LDPE (...);
2382 parameter [0:0] INIT = 1'b1;
2383 parameter [0:0] IS_G_INVERTED = 1'b0;
2384 parameter [0:0] IS_PRE_INVERTED = 1'b0;
2385 parameter MSGON = "TRUE";
2386 parameter XON = "TRUE";
2387 output Q;
2388 input D, G, GE, PRE;
2389 endmodule
2390
2391 module MMCME2_ADV (...);
2392 parameter BANDWIDTH = "OPTIMIZED";
2393 parameter real CLKFBOUT_MULT_F = 5.000;
2394 parameter real CLKFBOUT_PHASE = 0.000;
2395 parameter CLKFBOUT_USE_FINE_PS = "FALSE";
2396 parameter real CLKIN1_PERIOD = 0.000;
2397 parameter real CLKIN2_PERIOD = 0.000;
2398 parameter real CLKIN_FREQ_MAX = 1066.000;
2399 parameter real CLKIN_FREQ_MIN = 10.000;
2400 parameter real CLKOUT0_DIVIDE_F = 1.000;
2401 parameter real CLKOUT0_DUTY_CYCLE = 0.500;
2402 parameter real CLKOUT0_PHASE = 0.000;
2403 parameter CLKOUT0_USE_FINE_PS = "FALSE";
2404 parameter integer CLKOUT1_DIVIDE = 1;
2405 parameter real CLKOUT1_DUTY_CYCLE = 0.500;
2406 parameter real CLKOUT1_PHASE = 0.000;
2407 parameter CLKOUT1_USE_FINE_PS = "FALSE";
2408 parameter integer CLKOUT2_DIVIDE = 1;
2409 parameter real CLKOUT2_DUTY_CYCLE = 0.500;
2410 parameter real CLKOUT2_PHASE = 0.000;
2411 parameter CLKOUT2_USE_FINE_PS = "FALSE";
2412 parameter integer CLKOUT3_DIVIDE = 1;
2413 parameter real CLKOUT3_DUTY_CYCLE = 0.500;
2414 parameter real CLKOUT3_PHASE = 0.000;
2415 parameter CLKOUT3_USE_FINE_PS = "FALSE";
2416 parameter CLKOUT4_CASCADE = "FALSE";
2417 parameter integer CLKOUT4_DIVIDE = 1;
2418 parameter real CLKOUT4_DUTY_CYCLE = 0.500;
2419 parameter real CLKOUT4_PHASE = 0.000;
2420 parameter CLKOUT4_USE_FINE_PS = "FALSE";
2421 parameter integer CLKOUT5_DIVIDE = 1;
2422 parameter real CLKOUT5_DUTY_CYCLE = 0.500;
2423 parameter real CLKOUT5_PHASE = 0.000;
2424 parameter CLKOUT5_USE_FINE_PS = "FALSE";
2425 parameter integer CLKOUT6_DIVIDE = 1;
2426 parameter real CLKOUT6_DUTY_CYCLE = 0.500;
2427 parameter real CLKOUT6_PHASE = 0.000;
2428 parameter CLKOUT6_USE_FINE_PS = "FALSE";
2429 parameter real CLKPFD_FREQ_MAX = 550.000;
2430 parameter real CLKPFD_FREQ_MIN = 10.000;
2431 parameter COMPENSATION = "ZHOLD";
2432 parameter integer DIVCLK_DIVIDE = 1;
2433 parameter [0:0] IS_CLKINSEL_INVERTED = 1'b0;
2434 parameter [0:0] IS_PSEN_INVERTED = 1'b0;
2435 parameter [0:0] IS_PSINCDEC_INVERTED = 1'b0;
2436 parameter [0:0] IS_PWRDWN_INVERTED = 1'b0;
2437 parameter [0:0] IS_RST_INVERTED = 1'b0;
2438 parameter real REF_JITTER1 = 0.010;
2439 parameter real REF_JITTER2 = 0.010;
2440 parameter SS_EN = "FALSE";
2441 parameter SS_MODE = "CENTER_HIGH";
2442 parameter integer SS_MOD_PERIOD = 10000;
2443 parameter STARTUP_WAIT = "FALSE";
2444 parameter real VCOCLK_FREQ_MAX = 1600.000;
2445 parameter real VCOCLK_FREQ_MIN = 600.000;
2446 parameter STARTUP_WAIT = "FALSE";
2447 output CLKFBOUT;
2448 output CLKFBOUTB;
2449 output CLKFBSTOPPED;
2450 output CLKINSTOPPED;
2451 output CLKOUT0;
2452 output CLKOUT0B;
2453 output CLKOUT1;
2454 output CLKOUT1B;
2455 output CLKOUT2;
2456 output CLKOUT2B;
2457 output CLKOUT3;
2458 output CLKOUT3B;
2459 output CLKOUT4;
2460 output CLKOUT5;
2461 output CLKOUT6;
2462 output [15:0] DO;
2463 output DRDY;
2464 output LOCKED;
2465 output PSDONE;
2466 input CLKFBIN;
2467 input CLKIN1;
2468 input CLKIN2;
2469 input CLKINSEL;
2470 input [6:0] DADDR;
2471 input DCLK;
2472 input DEN;
2473 input [15:0] DI;
2474 input DWE;
2475 input PSCLK;
2476 input PSEN;
2477 input PSINCDEC;
2478 input PWRDWN;
2479 input RST;
2480 endmodule
2481
2482 module MMCME2_BASE (...);
2483 parameter BANDWIDTH = "OPTIMIZED";
2484 parameter real CLKFBOUT_MULT_F = 5.000;
2485 parameter real CLKFBOUT_PHASE = 0.000;
2486 parameter real CLKIN1_PERIOD = 0.000;
2487 parameter real CLKOUT0_DIVIDE_F = 1.000;
2488 parameter real CLKOUT0_DUTY_CYCLE = 0.500;
2489 parameter real CLKOUT0_PHASE = 0.000;
2490 parameter integer CLKOUT1_DIVIDE = 1;
2491 parameter real CLKOUT1_DUTY_CYCLE = 0.500;
2492 parameter real CLKOUT1_PHASE = 0.000;
2493 parameter integer CLKOUT2_DIVIDE = 1;
2494 parameter real CLKOUT2_DUTY_CYCLE = 0.500;
2495 parameter real CLKOUT2_PHASE = 0.000;
2496 parameter integer CLKOUT3_DIVIDE = 1;
2497 parameter real CLKOUT3_DUTY_CYCLE = 0.500;
2498 parameter real CLKOUT3_PHASE = 0.000;
2499 parameter CLKOUT4_CASCADE = "FALSE";
2500 parameter integer CLKOUT4_DIVIDE = 1;
2501 parameter real CLKOUT4_DUTY_CYCLE = 0.500;
2502 parameter real CLKOUT4_PHASE = 0.000;
2503 parameter integer CLKOUT5_DIVIDE = 1;
2504 parameter real CLKOUT5_DUTY_CYCLE = 0.500;
2505 parameter real CLKOUT5_PHASE = 0.000;
2506 parameter integer CLKOUT6_DIVIDE = 1;
2507 parameter real CLKOUT6_DUTY_CYCLE = 0.500;
2508 parameter real CLKOUT6_PHASE = 0.000;
2509 parameter integer DIVCLK_DIVIDE = 1;
2510 parameter real REF_JITTER1 = 0.010;
2511 parameter STARTUP_WAIT = "FALSE";
2512 output CLKFBOUT;
2513 output CLKFBOUTB;
2514 output CLKOUT0;
2515 output CLKOUT0B;
2516 output CLKOUT1;
2517 output CLKOUT1B;
2518 output CLKOUT2;
2519 output CLKOUT2B;
2520 output CLKOUT3;
2521 output CLKOUT3B;
2522 output CLKOUT4;
2523 output CLKOUT5;
2524 output CLKOUT6;
2525 output LOCKED;
2526 input CLKFBIN;
2527 input CLKIN1;
2528 input PWRDWN;
2529 input RST;
2530 endmodule
2531
2532 module OBUFDS (...);
2533 parameter CAPACITANCE = "DONT_CARE";
2534 parameter IOSTANDARD = "DEFAULT";
2535 parameter SLEW = "SLOW";
2536 output O, OB;
2537 input I;
2538 endmodule
2539
2540 module OBUFT (...);
2541 parameter CAPACITANCE = "DONT_CARE";
2542 parameter integer DRIVE = 12;
2543 parameter IOSTANDARD = "DEFAULT";
2544 parameter SLEW = "SLOW";
2545 output O;
2546 input I, T;
2547 endmodule
2548
2549 module OBUFTDS (...);
2550 parameter CAPACITANCE = "DONT_CARE";
2551 parameter IOSTANDARD = "DEFAULT";
2552 parameter SLEW = "SLOW";
2553 output O, OB;
2554 input I, T;
2555 endmodule
2556
2557 module ODDR (...);
2558 output Q;
2559 input C;
2560 input CE;
2561 input D1;
2562 input D2;
2563 input R;
2564 input S;
2565 parameter DDR_CLK_EDGE = "OPPOSITE_EDGE";
2566 parameter INIT = 1'b0;
2567 parameter [0:0] IS_C_INVERTED = 1'b0;
2568 parameter [0:0] IS_D1_INVERTED = 1'b0;
2569 parameter [0:0] IS_D2_INVERTED = 1'b0;
2570 parameter SRTYPE = "SYNC";
2571 parameter MSGON = "TRUE";
2572 parameter XON = "TRUE";
2573 endmodule
2574
2575 module ODELAYE2 (...);
2576 parameter CINVCTRL_SEL = "FALSE";
2577 parameter DELAY_SRC = "ODATAIN";
2578 parameter HIGH_PERFORMANCE_MODE = "FALSE";
2579 parameter [0:0] IS_C_INVERTED = 1'b0;
2580 parameter [0:0] IS_ODATAIN_INVERTED = 1'b0;
2581 parameter ODELAY_TYPE = "FIXED";
2582 parameter integer ODELAY_VALUE = 0;
2583 parameter PIPE_SEL = "FALSE";
2584 parameter real REFCLK_FREQUENCY = 200.0;
2585 parameter SIGNAL_PATTERN = "DATA";
2586 parameter integer SIM_DELAY_D = 0;
2587 output [4:0] CNTVALUEOUT;
2588 output DATAOUT;
2589 input C;
2590 input CE;
2591 input CINVCTRL;
2592 input CLKIN;
2593 input [4:0] CNTVALUEIN;
2594 input INC;
2595 input LD;
2596 input LDPIPEEN;
2597 input ODATAIN;
2598 input REGRST;
2599 endmodule
2600
2601 module OSERDESE2 (...);
2602 parameter DATA_RATE_OQ = "DDR";
2603 parameter DATA_RATE_TQ = "DDR";
2604 parameter integer DATA_WIDTH = 4;
2605 parameter [0:0] INIT_OQ = 1'b0;
2606 parameter [0:0] INIT_TQ = 1'b0;
2607 parameter [0:0] IS_CLKDIV_INVERTED = 1'b0;
2608 parameter [0:0] IS_CLK_INVERTED = 1'b0;
2609 parameter [0:0] IS_D1_INVERTED = 1'b0;
2610 parameter [0:0] IS_D2_INVERTED = 1'b0;
2611 parameter [0:0] IS_D3_INVERTED = 1'b0;
2612 parameter [0:0] IS_D4_INVERTED = 1'b0;
2613 parameter [0:0] IS_D5_INVERTED = 1'b0;
2614 parameter [0:0] IS_D6_INVERTED = 1'b0;
2615 parameter [0:0] IS_D7_INVERTED = 1'b0;
2616 parameter [0:0] IS_D8_INVERTED = 1'b0;
2617 parameter [0:0] IS_T1_INVERTED = 1'b0;
2618 parameter [0:0] IS_T2_INVERTED = 1'b0;
2619 parameter [0:0] IS_T3_INVERTED = 1'b0;
2620 parameter [0:0] IS_T4_INVERTED = 1'b0;
2621 parameter SERDES_MODE = "MASTER";
2622 parameter [0:0] SRVAL_OQ = 1'b0;
2623 parameter [0:0] SRVAL_TQ = 1'b0;
2624 parameter TBYTE_CTL = "FALSE";
2625 parameter TBYTE_SRC = "FALSE";
2626 parameter integer TRISTATE_WIDTH = 4;
2627 output OFB;
2628 output OQ;
2629 output SHIFTOUT1;
2630 output SHIFTOUT2;
2631 output TBYTEOUT;
2632 output TFB;
2633 output TQ;
2634 input CLK;
2635 input CLKDIV;
2636 input D1;
2637 input D2;
2638 input D3;
2639 input D4;
2640 input D5;
2641 input D6;
2642 input D7;
2643 input D8;
2644 input OCE;
2645 input RST;
2646 input SHIFTIN1;
2647 input SHIFTIN2;
2648 input T1;
2649 input T2;
2650 input T3;
2651 input T4;
2652 input TBYTEIN;
2653 input TCE;
2654 endmodule
2655
2656 module OUT_FIFO (...);
2657 parameter integer ALMOST_EMPTY_VALUE = 1;
2658 parameter integer ALMOST_FULL_VALUE = 1;
2659 parameter ARRAY_MODE = "ARRAY_MODE_8_X_4";
2660 parameter OUTPUT_DISABLE = "FALSE";
2661 parameter SYNCHRONOUS_MODE = "FALSE";
2662 output ALMOSTEMPTY;
2663 output ALMOSTFULL;
2664 output EMPTY;
2665 output FULL;
2666 output [3:0] Q0;
2667 output [3:0] Q1;
2668 output [3:0] Q2;
2669 output [3:0] Q3;
2670 output [3:0] Q4;
2671 output [3:0] Q7;
2672 output [3:0] Q8;
2673 output [3:0] Q9;
2674 output [7:0] Q5;
2675 output [7:0] Q6;
2676 input RDCLK;
2677 input RDEN;
2678 input RESET;
2679 input WRCLK;
2680 input WREN;
2681 input [7:0] D0;
2682 input [7:0] D1;
2683 input [7:0] D2;
2684 input [7:0] D3;
2685 input [7:0] D4;
2686 input [7:0] D5;
2687 input [7:0] D6;
2688 input [7:0] D7;
2689 input [7:0] D8;
2690 input [7:0] D9;
2691 endmodule
2692
2693 module PHASER_IN (...);
2694 parameter integer CLKOUT_DIV = 4;
2695 parameter DQS_BIAS_MODE = "FALSE";
2696 parameter EN_ISERDES_RST = "FALSE";
2697 parameter integer FINE_DELAY = 0;
2698 parameter FREQ_REF_DIV = "NONE";
2699 parameter [0:0] IS_RST_INVERTED = 1'b0;
2700 parameter real MEMREFCLK_PERIOD = 0.000;
2701 parameter OUTPUT_CLK_SRC = "PHASE_REF";
2702 parameter real PHASEREFCLK_PERIOD = 0.000;
2703 parameter real REFCLK_PERIOD = 0.000;
2704 parameter integer SEL_CLK_OFFSET = 5;
2705 parameter SYNC_IN_DIV_RST = "FALSE";
2706 output FINEOVERFLOW;
2707 output ICLK;
2708 output ICLKDIV;
2709 output ISERDESRST;
2710 output RCLK;
2711 output [5:0] COUNTERREADVAL;
2712 input COUNTERLOADEN;
2713 input COUNTERREADEN;
2714 input DIVIDERST;
2715 input EDGEADV;
2716 input FINEENABLE;
2717 input FINEINC;
2718 input FREQREFCLK;
2719 input MEMREFCLK;
2720 input PHASEREFCLK;
2721 input RST;
2722 input SYNCIN;
2723 input SYSCLK;
2724 input [1:0] RANKSEL;
2725 input [5:0] COUNTERLOADVAL;
2726 endmodule
2727
2728 module PHASER_IN_PHY (...);
2729 parameter BURST_MODE = "FALSE";
2730 parameter integer CLKOUT_DIV = 4;
2731 parameter [0:0] DQS_AUTO_RECAL = 1'b1;
2732 parameter DQS_BIAS_MODE = "FALSE";
2733 parameter [2:0] DQS_FIND_PATTERN = 3'b001;
2734 parameter integer FINE_DELAY = 0;
2735 parameter FREQ_REF_DIV = "NONE";
2736 parameter [0:0] IS_RST_INVERTED = 1'b0;
2737 parameter real MEMREFCLK_PERIOD = 0.000;
2738 parameter OUTPUT_CLK_SRC = "PHASE_REF";
2739 parameter real PHASEREFCLK_PERIOD = 0.000;
2740 parameter real REFCLK_PERIOD = 0.000;
2741 parameter integer SEL_CLK_OFFSET = 5;
2742 parameter SYNC_IN_DIV_RST = "FALSE";
2743 parameter WR_CYCLES = "FALSE";
2744 output DQSFOUND;
2745 output DQSOUTOFRANGE;
2746 output FINEOVERFLOW;
2747 output ICLK;
2748 output ICLKDIV;
2749 output ISERDESRST;
2750 output PHASELOCKED;
2751 output RCLK;
2752 output WRENABLE;
2753 output [5:0] COUNTERREADVAL;
2754 input BURSTPENDINGPHY;
2755 input COUNTERLOADEN;
2756 input COUNTERREADEN;
2757 input FINEENABLE;
2758 input FINEINC;
2759 input FREQREFCLK;
2760 input MEMREFCLK;
2761 input PHASEREFCLK;
2762 input RST;
2763 input RSTDQSFIND;
2764 input SYNCIN;
2765 input SYSCLK;
2766 input [1:0] ENCALIBPHY;
2767 input [1:0] RANKSELPHY;
2768 input [5:0] COUNTERLOADVAL;
2769 endmodule
2770
2771 module PHASER_OUT (...);
2772 parameter integer CLKOUT_DIV = 4;
2773 parameter COARSE_BYPASS = "FALSE";
2774 parameter integer COARSE_DELAY = 0;
2775 parameter EN_OSERDES_RST = "FALSE";
2776 parameter integer FINE_DELAY = 0;
2777 parameter [0:0] IS_RST_INVERTED = 1'b0;
2778 parameter real MEMREFCLK_PERIOD = 0.000;
2779 parameter OCLKDELAY_INV = "FALSE";
2780 parameter integer OCLK_DELAY = 0;
2781 parameter OUTPUT_CLK_SRC = "PHASE_REF";
2782 parameter real PHASEREFCLK_PERIOD = 0.000;
2783 parameter [2:0] PO = 3'b000;
2784 parameter real REFCLK_PERIOD = 0.000;
2785 parameter SYNC_IN_DIV_RST = "FALSE";
2786 output COARSEOVERFLOW;
2787 output FINEOVERFLOW;
2788 output OCLK;
2789 output OCLKDELAYED;
2790 output OCLKDIV;
2791 output OSERDESRST;
2792 output [8:0] COUNTERREADVAL;
2793 input COARSEENABLE;
2794 input COARSEINC;
2795 input COUNTERLOADEN;
2796 input COUNTERREADEN;
2797 input DIVIDERST;
2798 input EDGEADV;
2799 input FINEENABLE;
2800 input FINEINC;
2801 input FREQREFCLK;
2802 input MEMREFCLK;
2803 input PHASEREFCLK;
2804 input RST;
2805 input SELFINEOCLKDELAY;
2806 input SYNCIN;
2807 input SYSCLK;
2808 input [8:0] COUNTERLOADVAL;
2809 endmodule
2810
2811 module PHASER_OUT_PHY (...);
2812 parameter integer CLKOUT_DIV = 4;
2813 parameter COARSE_BYPASS = "FALSE";
2814 parameter integer COARSE_DELAY = 0;
2815 parameter DATA_CTL_N = "FALSE";
2816 parameter DATA_RD_CYCLES = "FALSE";
2817 parameter integer FINE_DELAY = 0;
2818 parameter [0:0] IS_RST_INVERTED = 1'b0;
2819 parameter real MEMREFCLK_PERIOD = 0.000;
2820 parameter OCLKDELAY_INV = "FALSE";
2821 parameter integer OCLK_DELAY = 0;
2822 parameter OUTPUT_CLK_SRC = "PHASE_REF";
2823 parameter real PHASEREFCLK_PERIOD = 0.000;
2824 parameter [2:0] PO = 3'b000;
2825 parameter real REFCLK_PERIOD = 0.000;
2826 parameter SYNC_IN_DIV_RST = "FALSE";
2827 output COARSEOVERFLOW;
2828 output FINEOVERFLOW;
2829 output OCLK;
2830 output OCLKDELAYED;
2831 output OCLKDIV;
2832 output OSERDESRST;
2833 output RDENABLE;
2834 output [1:0] CTSBUS;
2835 output [1:0] DQSBUS;
2836 output [1:0] DTSBUS;
2837 output [8:0] COUNTERREADVAL;
2838 input BURSTPENDINGPHY;
2839 input COARSEENABLE;
2840 input COARSEINC;
2841 input COUNTERLOADEN;
2842 input COUNTERREADEN;
2843 input FINEENABLE;
2844 input FINEINC;
2845 input FREQREFCLK;
2846 input MEMREFCLK;
2847 input PHASEREFCLK;
2848 input RST;
2849 input SELFINEOCLKDELAY;
2850 input SYNCIN;
2851 input SYSCLK;
2852 input [1:0] ENCALIBPHY;
2853 input [8:0] COUNTERLOADVAL;
2854 endmodule
2855
2856 module PHASER_REF (...);
2857 parameter [0:0] IS_RST_INVERTED = 1'b0;
2858 parameter [0:0] IS_PWRDWN_INVERTED = 1'b0;
2859 output LOCKED;
2860 input CLKIN;
2861 input PWRDWN;
2862 input RST;
2863 endmodule
2864
2865 module PHY_CONTROL (...);
2866 parameter integer AO_TOGGLE = 0;
2867 parameter [3:0] AO_WRLVL_EN = 4'b0000;
2868 parameter BURST_MODE = "FALSE";
2869 parameter integer CLK_RATIO = 1;
2870 parameter integer CMD_OFFSET = 0;
2871 parameter integer CO_DURATION = 0;
2872 parameter DATA_CTL_A_N = "FALSE";
2873 parameter DATA_CTL_B_N = "FALSE";
2874 parameter DATA_CTL_C_N = "FALSE";
2875 parameter DATA_CTL_D_N = "FALSE";
2876 parameter DISABLE_SEQ_MATCH = "TRUE";
2877 parameter integer DI_DURATION = 0;
2878 parameter integer DO_DURATION = 0;
2879 parameter integer EVENTS_DELAY = 63;
2880 parameter integer FOUR_WINDOW_CLOCKS = 63;
2881 parameter MULTI_REGION = "FALSE";
2882 parameter PHY_COUNT_ENABLE = "FALSE";
2883 parameter integer RD_CMD_OFFSET_0 = 0;
2884 parameter integer RD_CMD_OFFSET_1 = 00;
2885 parameter integer RD_CMD_OFFSET_2 = 0;
2886 parameter integer RD_CMD_OFFSET_3 = 0;
2887 parameter integer RD_DURATION_0 = 0;
2888 parameter integer RD_DURATION_1 = 0;
2889 parameter integer RD_DURATION_2 = 0;
2890 parameter integer RD_DURATION_3 = 0;
2891 parameter SYNC_MODE = "FALSE";
2892 parameter integer WR_CMD_OFFSET_0 = 0;
2893 parameter integer WR_CMD_OFFSET_1 = 0;
2894 parameter integer WR_CMD_OFFSET_2 = 0;
2895 parameter integer WR_CMD_OFFSET_3 = 0;
2896 parameter integer WR_DURATION_0 = 0;
2897 parameter integer WR_DURATION_1 = 0;
2898 parameter integer WR_DURATION_2 = 0;
2899 parameter integer WR_DURATION_3 = 0;
2900 output PHYCTLALMOSTFULL;
2901 output PHYCTLEMPTY;
2902 output PHYCTLFULL;
2903 output PHYCTLREADY;
2904 output [1:0] INRANKA;
2905 output [1:0] INRANKB;
2906 output [1:0] INRANKC;
2907 output [1:0] INRANKD;
2908 output [1:0] PCENABLECALIB;
2909 output [3:0] AUXOUTPUT;
2910 output [3:0] INBURSTPENDING;
2911 output [3:0] OUTBURSTPENDING;
2912 input MEMREFCLK;
2913 input PHYCLK;
2914 input PHYCTLMSTREMPTY;
2915 input PHYCTLWRENABLE;
2916 input PLLLOCK;
2917 input READCALIBENABLE;
2918 input REFDLLLOCK;
2919 input RESET;
2920 input SYNCIN;
2921 input WRITECALIBENABLE;
2922 input [31:0] PHYCTLWD;
2923 endmodule
2924
2925 module PLLE2_ADV (...);
2926 parameter BANDWIDTH = "OPTIMIZED";
2927 parameter COMPENSATION = "ZHOLD";
2928 parameter STARTUP_WAIT = "FALSE";
2929 parameter integer CLKOUT0_DIVIDE = 1;
2930 parameter integer CLKOUT1_DIVIDE = 1;
2931 parameter integer CLKOUT2_DIVIDE = 1;
2932 parameter integer CLKOUT3_DIVIDE = 1;
2933 parameter integer CLKOUT4_DIVIDE = 1;
2934 parameter integer CLKOUT5_DIVIDE = 1;
2935 parameter integer DIVCLK_DIVIDE = 1;
2936 parameter integer CLKFBOUT_MULT = 5;
2937 parameter real CLKFBOUT_PHASE = 0.000;
2938 parameter real CLKIN1_PERIOD = 0.000;
2939 parameter real CLKIN2_PERIOD = 0.000;
2940 parameter real CLKOUT0_DUTY_CYCLE = 0.500;
2941 parameter real CLKOUT0_PHASE = 0.000;
2942 parameter real CLKOUT1_DUTY_CYCLE = 0.500;
2943 parameter real CLKOUT1_PHASE = 0.000;
2944 parameter real CLKOUT2_DUTY_CYCLE = 0.500;
2945 parameter real CLKOUT2_PHASE = 0.000;
2946 parameter real CLKOUT3_DUTY_CYCLE = 0.500;
2947 parameter real CLKOUT3_PHASE = 0.000;
2948 parameter real CLKOUT4_DUTY_CYCLE = 0.500;
2949 parameter real CLKOUT4_PHASE = 0.000;
2950 parameter real CLKOUT5_DUTY_CYCLE = 0.500;
2951 parameter real CLKOUT5_PHASE = 0.000;
2952 parameter [0:0] IS_CLKINSEL_INVERTED = 1'b0;
2953 parameter [0:0] IS_PWRDWN_INVERTED = 1'b0;
2954 parameter [0:0] IS_RST_INVERTED = 1'b0;
2955 parameter real REF_JITTER1 = 0.010;
2956 parameter real REF_JITTER2 = 0.010;
2957 parameter real VCOCLK_FREQ_MAX = 2133.000;
2958 parameter real VCOCLK_FREQ_MIN = 800.000;
2959 parameter real CLKIN_FREQ_MAX = 1066.000;
2960 parameter real CLKIN_FREQ_MIN = 19.000;
2961 parameter real CLKPFD_FREQ_MAX = 550.0;
2962 parameter real CLKPFD_FREQ_MIN = 19.0;
2963 output CLKFBOUT;
2964 output CLKOUT0;
2965 output CLKOUT1;
2966 output CLKOUT2;
2967 output CLKOUT3;
2968 output CLKOUT4;
2969 output CLKOUT5;
2970 output DRDY;
2971 output LOCKED;
2972 output [15:0] DO;
2973 input CLKFBIN;
2974 input CLKIN1;
2975 input CLKIN2;
2976 input CLKINSEL;
2977 input DCLK;
2978 input DEN;
2979 input DWE;
2980 input PWRDWN;
2981 input RST;
2982 input [15:0] DI;
2983 input [6:0] DADDR;
2984 endmodule
2985
2986 module PLLE2_BASE (...);
2987 parameter BANDWIDTH = "OPTIMIZED";
2988 parameter integer CLKFBOUT_MULT = 5;
2989 parameter real CLKFBOUT_PHASE = 0.000;
2990 parameter real CLKIN1_PERIOD = 0.000;
2991 parameter integer CLKOUT0_DIVIDE = 1;
2992 parameter real CLKOUT0_DUTY_CYCLE = 0.500;
2993 parameter real CLKOUT0_PHASE = 0.000;
2994 parameter integer CLKOUT1_DIVIDE = 1;
2995 parameter real CLKOUT1_DUTY_CYCLE = 0.500;
2996 parameter real CLKOUT1_PHASE = 0.000;
2997 parameter integer CLKOUT2_DIVIDE = 1;
2998 parameter real CLKOUT2_DUTY_CYCLE = 0.500;
2999 parameter real CLKOUT2_PHASE = 0.000;
3000 parameter integer CLKOUT3_DIVIDE = 1;
3001 parameter real CLKOUT3_DUTY_CYCLE = 0.500;
3002 parameter real CLKOUT3_PHASE = 0.000;
3003 parameter integer CLKOUT4_DIVIDE = 1;
3004 parameter real CLKOUT4_DUTY_CYCLE = 0.500;
3005 parameter real CLKOUT4_PHASE = 0.000;
3006 parameter integer CLKOUT5_DIVIDE = 1;
3007 parameter real CLKOUT5_DUTY_CYCLE = 0.500;
3008 parameter real CLKOUT5_PHASE = 0.000;
3009 parameter integer DIVCLK_DIVIDE = 1;
3010 parameter real REF_JITTER1 = 0.010;
3011 parameter STARTUP_WAIT = "FALSE";
3012 output CLKFBOUT;
3013 output CLKOUT0;
3014 output CLKOUT1;
3015 output CLKOUT2;
3016 output CLKOUT3;
3017 output CLKOUT4;
3018 output CLKOUT5;
3019 output LOCKED;
3020 input CLKFBIN;
3021 input CLKIN1;
3022 input PWRDWN;
3023 input RST;
3024 endmodule
3025
3026 (* keep *)
3027 module PS7 (...);
3028 output DMA0DAVALID;
3029 output DMA0DRREADY;
3030 output DMA0RSTN;
3031 output DMA1DAVALID;
3032 output DMA1DRREADY;
3033 output DMA1RSTN;
3034 output DMA2DAVALID;
3035 output DMA2DRREADY;
3036 output DMA2RSTN;
3037 output DMA3DAVALID;
3038 output DMA3DRREADY;
3039 output DMA3RSTN;
3040 output EMIOCAN0PHYTX;
3041 output EMIOCAN1PHYTX;
3042 output EMIOENET0GMIITXEN;
3043 output EMIOENET0GMIITXER;
3044 output EMIOENET0MDIOMDC;
3045 output EMIOENET0MDIOO;
3046 output EMIOENET0MDIOTN;
3047 output EMIOENET0PTPDELAYREQRX;
3048 output EMIOENET0PTPDELAYREQTX;
3049 output EMIOENET0PTPPDELAYREQRX;
3050 output EMIOENET0PTPPDELAYREQTX;
3051 output EMIOENET0PTPPDELAYRESPRX;
3052 output EMIOENET0PTPPDELAYRESPTX;
3053 output EMIOENET0PTPSYNCFRAMERX;
3054 output EMIOENET0PTPSYNCFRAMETX;
3055 output EMIOENET0SOFRX;
3056 output EMIOENET0SOFTX;
3057 output EMIOENET1GMIITXEN;
3058 output EMIOENET1GMIITXER;
3059 output EMIOENET1MDIOMDC;
3060 output EMIOENET1MDIOO;
3061 output EMIOENET1MDIOTN;
3062 output EMIOENET1PTPDELAYREQRX;
3063 output EMIOENET1PTPDELAYREQTX;
3064 output EMIOENET1PTPPDELAYREQRX;
3065 output EMIOENET1PTPPDELAYREQTX;
3066 output EMIOENET1PTPPDELAYRESPRX;
3067 output EMIOENET1PTPPDELAYRESPTX;
3068 output EMIOENET1PTPSYNCFRAMERX;
3069 output EMIOENET1PTPSYNCFRAMETX;
3070 output EMIOENET1SOFRX;
3071 output EMIOENET1SOFTX;
3072 output EMIOI2C0SCLO;
3073 output EMIOI2C0SCLTN;
3074 output EMIOI2C0SDAO;
3075 output EMIOI2C0SDATN;
3076 output EMIOI2C1SCLO;
3077 output EMIOI2C1SCLTN;
3078 output EMIOI2C1SDAO;
3079 output EMIOI2C1SDATN;
3080 output EMIOPJTAGTDO;
3081 output EMIOPJTAGTDTN;
3082 output EMIOSDIO0BUSPOW;
3083 output EMIOSDIO0CLK;
3084 output EMIOSDIO0CMDO;
3085 output EMIOSDIO0CMDTN;
3086 output EMIOSDIO0LED;
3087 output EMIOSDIO1BUSPOW;
3088 output EMIOSDIO1CLK;
3089 output EMIOSDIO1CMDO;
3090 output EMIOSDIO1CMDTN;
3091 output EMIOSDIO1LED;
3092 output EMIOSPI0MO;
3093 output EMIOSPI0MOTN;
3094 output EMIOSPI0SCLKO;
3095 output EMIOSPI0SCLKTN;
3096 output EMIOSPI0SO;
3097 output EMIOSPI0SSNTN;
3098 output EMIOSPI0STN;
3099 output EMIOSPI1MO;
3100 output EMIOSPI1MOTN;
3101 output EMIOSPI1SCLKO;
3102 output EMIOSPI1SCLKTN;
3103 output EMIOSPI1SO;
3104 output EMIOSPI1SSNTN;
3105 output EMIOSPI1STN;
3106 output EMIOTRACECTL;
3107 output EMIOUART0DTRN;
3108 output EMIOUART0RTSN;
3109 output EMIOUART0TX;
3110 output EMIOUART1DTRN;
3111 output EMIOUART1RTSN;
3112 output EMIOUART1TX;
3113 output EMIOUSB0VBUSPWRSELECT;
3114 output EMIOUSB1VBUSPWRSELECT;
3115 output EMIOWDTRSTO;
3116 output EVENTEVENTO;
3117 output MAXIGP0ARESETN;
3118 output MAXIGP0ARVALID;
3119 output MAXIGP0AWVALID;
3120 output MAXIGP0BREADY;
3121 output MAXIGP0RREADY;
3122 output MAXIGP0WLAST;
3123 output MAXIGP0WVALID;
3124 output MAXIGP1ARESETN;
3125 output MAXIGP1ARVALID;
3126 output MAXIGP1AWVALID;
3127 output MAXIGP1BREADY;
3128 output MAXIGP1RREADY;
3129 output MAXIGP1WLAST;
3130 output MAXIGP1WVALID;
3131 output SAXIACPARESETN;
3132 output SAXIACPARREADY;
3133 output SAXIACPAWREADY;
3134 output SAXIACPBVALID;
3135 output SAXIACPRLAST;
3136 output SAXIACPRVALID;
3137 output SAXIACPWREADY;
3138 output SAXIGP0ARESETN;
3139 output SAXIGP0ARREADY;
3140 output SAXIGP0AWREADY;
3141 output SAXIGP0BVALID;
3142 output SAXIGP0RLAST;
3143 output SAXIGP0RVALID;
3144 output SAXIGP0WREADY;
3145 output SAXIGP1ARESETN;
3146 output SAXIGP1ARREADY;
3147 output SAXIGP1AWREADY;
3148 output SAXIGP1BVALID;
3149 output SAXIGP1RLAST;
3150 output SAXIGP1RVALID;
3151 output SAXIGP1WREADY;
3152 output SAXIHP0ARESETN;
3153 output SAXIHP0ARREADY;
3154 output SAXIHP0AWREADY;
3155 output SAXIHP0BVALID;
3156 output SAXIHP0RLAST;
3157 output SAXIHP0RVALID;
3158 output SAXIHP0WREADY;
3159 output SAXIHP1ARESETN;
3160 output SAXIHP1ARREADY;
3161 output SAXIHP1AWREADY;
3162 output SAXIHP1BVALID;
3163 output SAXIHP1RLAST;
3164 output SAXIHP1RVALID;
3165 output SAXIHP1WREADY;
3166 output SAXIHP2ARESETN;
3167 output SAXIHP2ARREADY;
3168 output SAXIHP2AWREADY;
3169 output SAXIHP2BVALID;
3170 output SAXIHP2RLAST;
3171 output SAXIHP2RVALID;
3172 output SAXIHP2WREADY;
3173 output SAXIHP3ARESETN;
3174 output SAXIHP3ARREADY;
3175 output SAXIHP3AWREADY;
3176 output SAXIHP3BVALID;
3177 output SAXIHP3RLAST;
3178 output SAXIHP3RVALID;
3179 output SAXIHP3WREADY;
3180 output [11:0] MAXIGP0ARID;
3181 output [11:0] MAXIGP0AWID;
3182 output [11:0] MAXIGP0WID;
3183 output [11:0] MAXIGP1ARID;
3184 output [11:0] MAXIGP1AWID;
3185 output [11:0] MAXIGP1WID;
3186 output [1:0] DMA0DATYPE;
3187 output [1:0] DMA1DATYPE;
3188 output [1:0] DMA2DATYPE;
3189 output [1:0] DMA3DATYPE;
3190 output [1:0] EMIOUSB0PORTINDCTL;
3191 output [1:0] EMIOUSB1PORTINDCTL;
3192 output [1:0] EVENTSTANDBYWFE;
3193 output [1:0] EVENTSTANDBYWFI;
3194 output [1:0] MAXIGP0ARBURST;
3195 output [1:0] MAXIGP0ARLOCK;
3196 output [1:0] MAXIGP0ARSIZE;
3197 output [1:0] MAXIGP0AWBURST;
3198 output [1:0] MAXIGP0AWLOCK;
3199 output [1:0] MAXIGP0AWSIZE;
3200 output [1:0] MAXIGP1ARBURST;
3201 output [1:0] MAXIGP1ARLOCK;
3202 output [1:0] MAXIGP1ARSIZE;
3203 output [1:0] MAXIGP1AWBURST;
3204 output [1:0] MAXIGP1AWLOCK;
3205 output [1:0] MAXIGP1AWSIZE;
3206 output [1:0] SAXIACPBRESP;
3207 output [1:0] SAXIACPRRESP;
3208 output [1:0] SAXIGP0BRESP;
3209 output [1:0] SAXIGP0RRESP;
3210 output [1:0] SAXIGP1BRESP;
3211 output [1:0] SAXIGP1RRESP;
3212 output [1:0] SAXIHP0BRESP;
3213 output [1:0] SAXIHP0RRESP;
3214 output [1:0] SAXIHP1BRESP;
3215 output [1:0] SAXIHP1RRESP;
3216 output [1:0] SAXIHP2BRESP;
3217 output [1:0] SAXIHP2RRESP;
3218 output [1:0] SAXIHP3BRESP;
3219 output [1:0] SAXIHP3RRESP;
3220 output [28:0] IRQP2F;
3221 output [2:0] EMIOSDIO0BUSVOLT;
3222 output [2:0] EMIOSDIO1BUSVOLT;
3223 output [2:0] EMIOSPI0SSON;
3224 output [2:0] EMIOSPI1SSON;
3225 output [2:0] EMIOTTC0WAVEO;
3226 output [2:0] EMIOTTC1WAVEO;
3227 output [2:0] MAXIGP0ARPROT;
3228 output [2:0] MAXIGP0AWPROT;
3229 output [2:0] MAXIGP1ARPROT;
3230 output [2:0] MAXIGP1AWPROT;
3231 output [2:0] SAXIACPBID;
3232 output [2:0] SAXIACPRID;
3233 output [2:0] SAXIHP0RACOUNT;
3234 output [2:0] SAXIHP1RACOUNT;
3235 output [2:0] SAXIHP2RACOUNT;
3236 output [2:0] SAXIHP3RACOUNT;
3237 output [31:0] EMIOTRACEDATA;
3238 output [31:0] FTMTP2FDEBUG;
3239 output [31:0] MAXIGP0ARADDR;
3240 output [31:0] MAXIGP0AWADDR;
3241 output [31:0] MAXIGP0WDATA;
3242 output [31:0] MAXIGP1ARADDR;
3243 output [31:0] MAXIGP1AWADDR;
3244 output [31:0] MAXIGP1WDATA;
3245 output [31:0] SAXIGP0RDATA;
3246 output [31:0] SAXIGP1RDATA;
3247 output [3:0] EMIOSDIO0DATAO;
3248 output [3:0] EMIOSDIO0DATATN;
3249 output [3:0] EMIOSDIO1DATAO;
3250 output [3:0] EMIOSDIO1DATATN;
3251 output [3:0] FCLKCLK;
3252 output [3:0] FCLKRESETN;
3253 output [3:0] FTMTF2PTRIGACK;
3254 output [3:0] FTMTP2FTRIG;
3255 output [3:0] MAXIGP0ARCACHE;
3256 output [3:0] MAXIGP0ARLEN;
3257 output [3:0] MAXIGP0ARQOS;
3258 output [3:0] MAXIGP0AWCACHE;
3259 output [3:0] MAXIGP0AWLEN;
3260 output [3:0] MAXIGP0AWQOS;
3261 output [3:0] MAXIGP0WSTRB;
3262 output [3:0] MAXIGP1ARCACHE;
3263 output [3:0] MAXIGP1ARLEN;
3264 output [3:0] MAXIGP1ARQOS;
3265 output [3:0] MAXIGP1AWCACHE;
3266 output [3:0] MAXIGP1AWLEN;
3267 output [3:0] MAXIGP1AWQOS;
3268 output [3:0] MAXIGP1WSTRB;
3269 output [5:0] SAXIGP0BID;
3270 output [5:0] SAXIGP0RID;
3271 output [5:0] SAXIGP1BID;
3272 output [5:0] SAXIGP1RID;
3273 output [5:0] SAXIHP0BID;
3274 output [5:0] SAXIHP0RID;
3275 output [5:0] SAXIHP0WACOUNT;
3276 output [5:0] SAXIHP1BID;
3277 output [5:0] SAXIHP1RID;
3278 output [5:0] SAXIHP1WACOUNT;
3279 output [5:0] SAXIHP2BID;
3280 output [5:0] SAXIHP2RID;
3281 output [5:0] SAXIHP2WACOUNT;
3282 output [5:0] SAXIHP3BID;
3283 output [5:0] SAXIHP3RID;
3284 output [5:0] SAXIHP3WACOUNT;
3285 output [63:0] EMIOGPIOO;
3286 output [63:0] EMIOGPIOTN;
3287 output [63:0] SAXIACPRDATA;
3288 output [63:0] SAXIHP0RDATA;
3289 output [63:0] SAXIHP1RDATA;
3290 output [63:0] SAXIHP2RDATA;
3291 output [63:0] SAXIHP3RDATA;
3292 output [7:0] EMIOENET0GMIITXD;
3293 output [7:0] EMIOENET1GMIITXD;
3294 output [7:0] SAXIHP0RCOUNT;
3295 output [7:0] SAXIHP0WCOUNT;
3296 output [7:0] SAXIHP1RCOUNT;
3297 output [7:0] SAXIHP1WCOUNT;
3298 output [7:0] SAXIHP2RCOUNT;
3299 output [7:0] SAXIHP2WCOUNT;
3300 output [7:0] SAXIHP3RCOUNT;
3301 output [7:0] SAXIHP3WCOUNT;
3302 inout DDRCASB;
3303 inout DDRCKE;
3304 inout DDRCKN;
3305 inout DDRCKP;
3306 inout DDRCSB;
3307 inout DDRDRSTB;
3308 inout DDRODT;
3309 inout DDRRASB;
3310 inout DDRVRN;
3311 inout DDRVRP;
3312 inout DDRWEB;
3313 inout PSCLK;
3314 inout PSPORB;
3315 inout PSSRSTB;
3316 inout [14:0] DDRA;
3317 inout [2:0] DDRBA;
3318 inout [31:0] DDRDQ;
3319 inout [3:0] DDRDM;
3320 inout [3:0] DDRDQSN;
3321 inout [3:0] DDRDQSP;
3322 inout [53:0] MIO;
3323 input DMA0ACLK;
3324 input DMA0DAREADY;
3325 input DMA0DRLAST;
3326 input DMA0DRVALID;
3327 input DMA1ACLK;
3328 input DMA1DAREADY;
3329 input DMA1DRLAST;
3330 input DMA1DRVALID;
3331 input DMA2ACLK;
3332 input DMA2DAREADY;
3333 input DMA2DRLAST;
3334 input DMA2DRVALID;
3335 input DMA3ACLK;
3336 input DMA3DAREADY;
3337 input DMA3DRLAST;
3338 input DMA3DRVALID;
3339 input EMIOCAN0PHYRX;
3340 input EMIOCAN1PHYRX;
3341 input EMIOENET0EXTINTIN;
3342 input EMIOENET0GMIICOL;
3343 input EMIOENET0GMIICRS;
3344 input EMIOENET0GMIIRXCLK;
3345 input EMIOENET0GMIIRXDV;
3346 input EMIOENET0GMIIRXER;
3347 input EMIOENET0GMIITXCLK;
3348 input EMIOENET0MDIOI;
3349 input EMIOENET1EXTINTIN;
3350 input EMIOENET1GMIICOL;
3351 input EMIOENET1GMIICRS;
3352 input EMIOENET1GMIIRXCLK;
3353 input EMIOENET1GMIIRXDV;
3354 input EMIOENET1GMIIRXER;
3355 input EMIOENET1GMIITXCLK;
3356 input EMIOENET1MDIOI;
3357 input EMIOI2C0SCLI;
3358 input EMIOI2C0SDAI;
3359 input EMIOI2C1SCLI;
3360 input EMIOI2C1SDAI;
3361 input EMIOPJTAGTCK;
3362 input EMIOPJTAGTDI;
3363 input EMIOPJTAGTMS;
3364 input EMIOSDIO0CDN;
3365 input EMIOSDIO0CLKFB;
3366 input EMIOSDIO0CMDI;
3367 input EMIOSDIO0WP;
3368 input EMIOSDIO1CDN;
3369 input EMIOSDIO1CLKFB;
3370 input EMIOSDIO1CMDI;
3371 input EMIOSDIO1WP;
3372 input EMIOSPI0MI;
3373 input EMIOSPI0SCLKI;
3374 input EMIOSPI0SI;
3375 input EMIOSPI0SSIN;
3376 input EMIOSPI1MI;
3377 input EMIOSPI1SCLKI;
3378 input EMIOSPI1SI;
3379 input EMIOSPI1SSIN;
3380 input EMIOSRAMINTIN;
3381 input EMIOTRACECLK;
3382 input EMIOUART0CTSN;
3383 input EMIOUART0DCDN;
3384 input EMIOUART0DSRN;
3385 input EMIOUART0RIN;
3386 input EMIOUART0RX;
3387 input EMIOUART1CTSN;
3388 input EMIOUART1DCDN;
3389 input EMIOUART1DSRN;
3390 input EMIOUART1RIN;
3391 input EMIOUART1RX;
3392 input EMIOUSB0VBUSPWRFAULT;
3393 input EMIOUSB1VBUSPWRFAULT;
3394 input EMIOWDTCLKI;
3395 input EVENTEVENTI;
3396 input FPGAIDLEN;
3397 input FTMDTRACEINCLOCK;
3398 input FTMDTRACEINVALID;
3399 input MAXIGP0ACLK;
3400 input MAXIGP0ARREADY;
3401 input MAXIGP0AWREADY;
3402 input MAXIGP0BVALID;
3403 input MAXIGP0RLAST;
3404 input MAXIGP0RVALID;
3405 input MAXIGP0WREADY;
3406 input MAXIGP1ACLK;
3407 input MAXIGP1ARREADY;
3408 input MAXIGP1AWREADY;
3409 input MAXIGP1BVALID;
3410 input MAXIGP1RLAST;
3411 input MAXIGP1RVALID;
3412 input MAXIGP1WREADY;
3413 input SAXIACPACLK;
3414 input SAXIACPARVALID;
3415 input SAXIACPAWVALID;
3416 input SAXIACPBREADY;
3417 input SAXIACPRREADY;
3418 input SAXIACPWLAST;
3419 input SAXIACPWVALID;
3420 input SAXIGP0ACLK;
3421 input SAXIGP0ARVALID;
3422 input SAXIGP0AWVALID;
3423 input SAXIGP0BREADY;
3424 input SAXIGP0RREADY;
3425 input SAXIGP0WLAST;
3426 input SAXIGP0WVALID;
3427 input SAXIGP1ACLK;
3428 input SAXIGP1ARVALID;
3429 input SAXIGP1AWVALID;
3430 input SAXIGP1BREADY;
3431 input SAXIGP1RREADY;
3432 input SAXIGP1WLAST;
3433 input SAXIGP1WVALID;
3434 input SAXIHP0ACLK;
3435 input SAXIHP0ARVALID;
3436 input SAXIHP0AWVALID;
3437 input SAXIHP0BREADY;
3438 input SAXIHP0RDISSUECAP1EN;
3439 input SAXIHP0RREADY;
3440 input SAXIHP0WLAST;
3441 input SAXIHP0WRISSUECAP1EN;
3442 input SAXIHP0WVALID;
3443 input SAXIHP1ACLK;
3444 input SAXIHP1ARVALID;
3445 input SAXIHP1AWVALID;
3446 input SAXIHP1BREADY;
3447 input SAXIHP1RDISSUECAP1EN;
3448 input SAXIHP1RREADY;
3449 input SAXIHP1WLAST;
3450 input SAXIHP1WRISSUECAP1EN;
3451 input SAXIHP1WVALID;
3452 input SAXIHP2ACLK;
3453 input SAXIHP2ARVALID;
3454 input SAXIHP2AWVALID;
3455 input SAXIHP2BREADY;
3456 input SAXIHP2RDISSUECAP1EN;
3457 input SAXIHP2RREADY;
3458 input SAXIHP2WLAST;
3459 input SAXIHP2WRISSUECAP1EN;
3460 input SAXIHP2WVALID;
3461 input SAXIHP3ACLK;
3462 input SAXIHP3ARVALID;
3463 input SAXIHP3AWVALID;
3464 input SAXIHP3BREADY;
3465 input SAXIHP3RDISSUECAP1EN;
3466 input SAXIHP3RREADY;
3467 input SAXIHP3WLAST;
3468 input SAXIHP3WRISSUECAP1EN;
3469 input SAXIHP3WVALID;
3470 input [11:0] MAXIGP0BID;
3471 input [11:0] MAXIGP0RID;
3472 input [11:0] MAXIGP1BID;
3473 input [11:0] MAXIGP1RID;
3474 input [19:0] IRQF2P;
3475 input [1:0] DMA0DRTYPE;
3476 input [1:0] DMA1DRTYPE;
3477 input [1:0] DMA2DRTYPE;
3478 input [1:0] DMA3DRTYPE;
3479 input [1:0] MAXIGP0BRESP;
3480 input [1:0] MAXIGP0RRESP;
3481 input [1:0] MAXIGP1BRESP;
3482 input [1:0] MAXIGP1RRESP;
3483 input [1:0] SAXIACPARBURST;
3484 input [1:0] SAXIACPARLOCK;
3485 input [1:0] SAXIACPARSIZE;
3486 input [1:0] SAXIACPAWBURST;
3487 input [1:0] SAXIACPAWLOCK;
3488 input [1:0] SAXIACPAWSIZE;
3489 input [1:0] SAXIGP0ARBURST;
3490 input [1:0] SAXIGP0ARLOCK;
3491 input [1:0] SAXIGP0ARSIZE;
3492 input [1:0] SAXIGP0AWBURST;
3493 input [1:0] SAXIGP0AWLOCK;
3494 input [1:0] SAXIGP0AWSIZE;
3495 input [1:0] SAXIGP1ARBURST;
3496 input [1:0] SAXIGP1ARLOCK;
3497 input [1:0] SAXIGP1ARSIZE;
3498 input [1:0] SAXIGP1AWBURST;
3499 input [1:0] SAXIGP1AWLOCK;
3500 input [1:0] SAXIGP1AWSIZE;
3501 input [1:0] SAXIHP0ARBURST;
3502 input [1:0] SAXIHP0ARLOCK;
3503 input [1:0] SAXIHP0ARSIZE;
3504 input [1:0] SAXIHP0AWBURST;
3505 input [1:0] SAXIHP0AWLOCK;
3506 input [1:0] SAXIHP0AWSIZE;
3507 input [1:0] SAXIHP1ARBURST;
3508 input [1:0] SAXIHP1ARLOCK;
3509 input [1:0] SAXIHP1ARSIZE;
3510 input [1:0] SAXIHP1AWBURST;
3511 input [1:0] SAXIHP1AWLOCK;
3512 input [1:0] SAXIHP1AWSIZE;
3513 input [1:0] SAXIHP2ARBURST;
3514 input [1:0] SAXIHP2ARLOCK;
3515 input [1:0] SAXIHP2ARSIZE;
3516 input [1:0] SAXIHP2AWBURST;
3517 input [1:0] SAXIHP2AWLOCK;
3518 input [1:0] SAXIHP2AWSIZE;
3519 input [1:0] SAXIHP3ARBURST;
3520 input [1:0] SAXIHP3ARLOCK;
3521 input [1:0] SAXIHP3ARSIZE;
3522 input [1:0] SAXIHP3AWBURST;
3523 input [1:0] SAXIHP3AWLOCK;
3524 input [1:0] SAXIHP3AWSIZE;
3525 input [2:0] EMIOTTC0CLKI;
3526 input [2:0] EMIOTTC1CLKI;
3527 input [2:0] SAXIACPARID;
3528 input [2:0] SAXIACPARPROT;
3529 input [2:0] SAXIACPAWID;
3530 input [2:0] SAXIACPAWPROT;
3531 input [2:0] SAXIACPWID;
3532 input [2:0] SAXIGP0ARPROT;
3533 input [2:0] SAXIGP0AWPROT;
3534 input [2:0] SAXIGP1ARPROT;
3535 input [2:0] SAXIGP1AWPROT;
3536 input [2:0] SAXIHP0ARPROT;
3537 input [2:0] SAXIHP0AWPROT;
3538 input [2:0] SAXIHP1ARPROT;
3539 input [2:0] SAXIHP1AWPROT;
3540 input [2:0] SAXIHP2ARPROT;
3541 input [2:0] SAXIHP2AWPROT;
3542 input [2:0] SAXIHP3ARPROT;
3543 input [2:0] SAXIHP3AWPROT;
3544 input [31:0] FTMDTRACEINDATA;
3545 input [31:0] FTMTF2PDEBUG;
3546 input [31:0] MAXIGP0RDATA;
3547 input [31:0] MAXIGP1RDATA;
3548 input [31:0] SAXIACPARADDR;
3549 input [31:0] SAXIACPAWADDR;
3550 input [31:0] SAXIGP0ARADDR;
3551 input [31:0] SAXIGP0AWADDR;
3552 input [31:0] SAXIGP0WDATA;
3553 input [31:0] SAXIGP1ARADDR;
3554 input [31:0] SAXIGP1AWADDR;
3555 input [31:0] SAXIGP1WDATA;
3556 input [31:0] SAXIHP0ARADDR;
3557 input [31:0] SAXIHP0AWADDR;
3558 input [31:0] SAXIHP1ARADDR;
3559 input [31:0] SAXIHP1AWADDR;
3560 input [31:0] SAXIHP2ARADDR;
3561 input [31:0] SAXIHP2AWADDR;
3562 input [31:0] SAXIHP3ARADDR;
3563 input [31:0] SAXIHP3AWADDR;
3564 input [3:0] DDRARB;
3565 input [3:0] EMIOSDIO0DATAI;
3566 input [3:0] EMIOSDIO1DATAI;
3567 input [3:0] FCLKCLKTRIGN;
3568 input [3:0] FTMDTRACEINATID;
3569 input [3:0] FTMTF2PTRIG;
3570 input [3:0] FTMTP2FTRIGACK;
3571 input [3:0] SAXIACPARCACHE;
3572 input [3:0] SAXIACPARLEN;
3573 input [3:0] SAXIACPARQOS;
3574 input [3:0] SAXIACPAWCACHE;
3575 input [3:0] SAXIACPAWLEN;
3576 input [3:0] SAXIACPAWQOS;
3577 input [3:0] SAXIGP0ARCACHE;
3578 input [3:0] SAXIGP0ARLEN;
3579 input [3:0] SAXIGP0ARQOS;
3580 input [3:0] SAXIGP0AWCACHE;
3581 input [3:0] SAXIGP0AWLEN;
3582 input [3:0] SAXIGP0AWQOS;
3583 input [3:0] SAXIGP0WSTRB;
3584 input [3:0] SAXIGP1ARCACHE;
3585 input [3:0] SAXIGP1ARLEN;
3586 input [3:0] SAXIGP1ARQOS;
3587 input [3:0] SAXIGP1AWCACHE;
3588 input [3:0] SAXIGP1AWLEN;
3589 input [3:0] SAXIGP1AWQOS;
3590 input [3:0] SAXIGP1WSTRB;
3591 input [3:0] SAXIHP0ARCACHE;
3592 input [3:0] SAXIHP0ARLEN;
3593 input [3:0] SAXIHP0ARQOS;
3594 input [3:0] SAXIHP0AWCACHE;
3595 input [3:0] SAXIHP0AWLEN;
3596 input [3:0] SAXIHP0AWQOS;
3597 input [3:0] SAXIHP1ARCACHE;
3598 input [3:0] SAXIHP1ARLEN;
3599 input [3:0] SAXIHP1ARQOS;
3600 input [3:0] SAXIHP1AWCACHE;
3601 input [3:0] SAXIHP1AWLEN;
3602 input [3:0] SAXIHP1AWQOS;
3603 input [3:0] SAXIHP2ARCACHE;
3604 input [3:0] SAXIHP2ARLEN;
3605 input [3:0] SAXIHP2ARQOS;
3606 input [3:0] SAXIHP2AWCACHE;
3607 input [3:0] SAXIHP2AWLEN;
3608 input [3:0] SAXIHP2AWQOS;
3609 input [3:0] SAXIHP3ARCACHE;
3610 input [3:0] SAXIHP3ARLEN;
3611 input [3:0] SAXIHP3ARQOS;
3612 input [3:0] SAXIHP3AWCACHE;
3613 input [3:0] SAXIHP3AWLEN;
3614 input [3:0] SAXIHP3AWQOS;
3615 input [4:0] SAXIACPARUSER;
3616 input [4:0] SAXIACPAWUSER;
3617 input [5:0] SAXIGP0ARID;
3618 input [5:0] SAXIGP0AWID;
3619 input [5:0] SAXIGP0WID;
3620 input [5:0] SAXIGP1ARID;
3621 input [5:0] SAXIGP1AWID;
3622 input [5:0] SAXIGP1WID;
3623 input [5:0] SAXIHP0ARID;
3624 input [5:0] SAXIHP0AWID;
3625 input [5:0] SAXIHP0WID;
3626 input [5:0] SAXIHP1ARID;
3627 input [5:0] SAXIHP1AWID;
3628 input [5:0] SAXIHP1WID;
3629 input [5:0] SAXIHP2ARID;
3630 input [5:0] SAXIHP2AWID;
3631 input [5:0] SAXIHP2WID;
3632 input [5:0] SAXIHP3ARID;
3633 input [5:0] SAXIHP3AWID;
3634 input [5:0] SAXIHP3WID;
3635 input [63:0] EMIOGPIOI;
3636 input [63:0] SAXIACPWDATA;
3637 input [63:0] SAXIHP0WDATA;
3638 input [63:0] SAXIHP1WDATA;
3639 input [63:0] SAXIHP2WDATA;
3640 input [63:0] SAXIHP3WDATA;
3641 input [7:0] EMIOENET0GMIIRXD;
3642 input [7:0] EMIOENET1GMIIRXD;
3643 input [7:0] SAXIACPWSTRB;
3644 input [7:0] SAXIHP0WSTRB;
3645 input [7:0] SAXIHP1WSTRB;
3646 input [7:0] SAXIHP2WSTRB;
3647 input [7:0] SAXIHP3WSTRB;
3648 endmodule
3649
3650 module PULLDOWN (...);
3651 output O;
3652 endmodule
3653
3654 module PULLUP (...);
3655 output O;
3656 endmodule
3657
3658 module RAM128X1D (...);
3659 parameter [127:0] INIT = 128'h00000000000000000000000000000000;
3660 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3661 output DPO, SPO;
3662 input [6:0] A;
3663 input [6:0] DPRA;
3664 input D;
3665 input WCLK;
3666 input WE;
3667 endmodule
3668
3669 module RAM128X1S (...);
3670 parameter [127:0] INIT = 128'h00000000000000000000000000000000;
3671 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3672 output O;
3673 input A0, A1, A2, A3, A4, A5, A6, D, WCLK, WE;
3674 endmodule
3675
3676 module RAM256X1S (...);
3677 parameter [255:0] INIT = 256'h0;
3678 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3679 output O;
3680 input [7:0] A;
3681 input D;
3682 input WCLK;
3683 input WE;
3684 endmodule
3685
3686 module RAM32M (...);
3687 parameter [63:0] INIT_A = 64'h0000000000000000;
3688 parameter [63:0] INIT_B = 64'h0000000000000000;
3689 parameter [63:0] INIT_C = 64'h0000000000000000;
3690 parameter [63:0] INIT_D = 64'h0000000000000000;
3691 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3692 output [1:0] DOA;
3693 output [1:0] DOB;
3694 output [1:0] DOC;
3695 output [1:0] DOD;
3696 input [4:0] ADDRA;
3697 input [4:0] ADDRB;
3698 input [4:0] ADDRC;
3699 input [4:0] ADDRD;
3700 input [1:0] DIA;
3701 input [1:0] DIB;
3702 input [1:0] DIC;
3703 input [1:0] DID;
3704 input WCLK;
3705 input WE;
3706 endmodule
3707
3708 module RAM32X1D (...);
3709 parameter [31:0] INIT = 32'h00000000;
3710 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3711 output DPO, SPO;
3712 input A0, A1, A2, A3, A4, D, DPRA0, DPRA1, DPRA2, DPRA3, DPRA4, WCLK, WE;
3713 endmodule
3714
3715 module RAM32X1S (...);
3716 parameter [31:0] INIT = 32'h00000000;
3717 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3718 output O;
3719 input A0, A1, A2, A3, A4, D, WCLK, WE;
3720 endmodule
3721
3722 module RAM32X1S_1 (...);
3723 parameter [31:0] INIT = 32'h00000000;
3724 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3725 output O;
3726 input A0, A1, A2, A3, A4, D, WCLK, WE;
3727 endmodule
3728
3729 module RAM32X2S (...);
3730 parameter [31:0] INIT_00 = 32'h00000000;
3731 parameter [31:0] INIT_01 = 32'h00000000;
3732 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3733 output O0, O1;
3734 input A0, A1, A2, A3, A4, D0, D1, WCLK, WE;
3735 endmodule
3736
3737 module RAM64M (...);
3738 parameter [63:0] INIT_A = 64'h0000000000000000;
3739 parameter [63:0] INIT_B = 64'h0000000000000000;
3740 parameter [63:0] INIT_C = 64'h0000000000000000;
3741 parameter [63:0] INIT_D = 64'h0000000000000000;
3742 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3743 output DOA;
3744 output DOB;
3745 output DOC;
3746 output DOD;
3747 input [5:0] ADDRA;
3748 input [5:0] ADDRB;
3749 input [5:0] ADDRC;
3750 input [5:0] ADDRD;
3751 input DIA;
3752 input DIB;
3753 input DIC;
3754 input DID;
3755 input WCLK;
3756 input WE;
3757 endmodule
3758
3759 module RAM64X1D (...);
3760 parameter [63:0] INIT = 64'h0000000000000000;
3761 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3762 output DPO, SPO;
3763 input A0, A1, A2, A3, A4, A5, D, DPRA0, DPRA1, DPRA2, DPRA3, DPRA4, DPRA5, WCLK, WE;
3764 endmodule
3765
3766 module RAM64X1S (...);
3767 parameter [63:0] INIT = 64'h0000000000000000;
3768 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3769 output O;
3770 input A0, A1, A2, A3, A4, A5, D, WCLK, WE;
3771 endmodule
3772
3773 module RAM64X1S_1 (...);
3774 parameter [63:0] INIT = 64'h0000000000000000;
3775 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3776 output O;
3777 input A0, A1, A2, A3, A4, A5, D, WCLK, WE;
3778 endmodule
3779
3780 module RAM64X2S (...);
3781 parameter [63:0] INIT_00 = 64'h0000000000000000;
3782 parameter [63:0] INIT_01 = 64'h0000000000000000;
3783 parameter [0:0] IS_WCLK_INVERTED = 1'b0;
3784 output O0, O1;
3785 input A0, A1, A2, A3, A4, A5, D0, D1, WCLK, WE;
3786 endmodule
3787
3788 module ROM128X1 (...);
3789 parameter [127:0] INIT = 128'h00000000000000000000000000000000;
3790 output O;
3791 input A0, A1, A2, A3, A4, A5, A6;
3792 endmodule
3793
3794 module ROM256X1 (...);
3795 parameter [255:0] INIT = 256'h0000000000000000000000000000000000000000000000000000000000000000;
3796 output O;
3797 input A0, A1, A2, A3, A4, A5, A6, A7;
3798 endmodule
3799
3800 module ROM32X1 (...);
3801 parameter [31:0] INIT = 32'h00000000;
3802 output O;
3803 input A0, A1, A2, A3, A4;
3804 endmodule
3805
3806 module ROM64X1 (...);
3807 parameter [63:0] INIT = 64'h0000000000000000;
3808 output O;
3809 input A0, A1, A2, A3, A4, A5;
3810 endmodule
3811
3812 (* keep *)
3813 module STARTUPE2 (...);
3814 parameter PROG_USR = "FALSE";
3815 parameter real SIM_CCLK_FREQ = 0.0;
3816 output CFGCLK;
3817 output CFGMCLK;
3818 output EOS;
3819 output PREQ;
3820 input CLK;
3821 input GSR;
3822 input GTS;
3823 input KEYCLEARB;
3824 input PACK;
3825 input USRCCLKO;
3826 input USRCCLKTS;
3827 input USRDONEO;
3828 input USRDONETS;
3829 endmodule
3830
3831 module USR_ACCESSE2 (...);
3832 output CFGCLK;
3833 output DATAVALID;
3834 output [31:0] DATA;
3835 endmodule
3836
3837 module XADC (...);
3838 output BUSY;
3839 output DRDY;
3840 output EOC;
3841 output EOS;
3842 output JTAGBUSY;
3843 output JTAGLOCKED;
3844 output JTAGMODIFIED;
3845 output OT;
3846 output [15:0] DO;
3847 output [7:0] ALM;
3848 output [4:0] CHANNEL;
3849 output [4:0] MUXADDR;
3850 input CONVST;
3851 input CONVSTCLK;
3852 input DCLK;
3853 input DEN;
3854 input DWE;
3855 input RESET;
3856 input VN;
3857 input VP;
3858 input [15:0] DI;
3859 input [15:0] VAUXN;
3860 input [15:0] VAUXP;
3861 input [6:0] DADDR;
3862 parameter [15:0] INIT_40 = 16'h0;
3863 parameter [15:0] INIT_41 = 16'h0;
3864 parameter [15:0] INIT_42 = 16'h0800;
3865 parameter [15:0] INIT_43 = 16'h0;
3866 parameter [15:0] INIT_44 = 16'h0;
3867 parameter [15:0] INIT_45 = 16'h0;
3868 parameter [15:0] INIT_46 = 16'h0;
3869 parameter [15:0] INIT_47 = 16'h0;
3870 parameter [15:0] INIT_48 = 16'h0;
3871 parameter [15:0] INIT_49 = 16'h0;
3872 parameter [15:0] INIT_4A = 16'h0;
3873 parameter [15:0] INIT_4B = 16'h0;
3874 parameter [15:0] INIT_4C = 16'h0;
3875 parameter [15:0] INIT_4D = 16'h0;
3876 parameter [15:0] INIT_4E = 16'h0;
3877 parameter [15:0] INIT_4F = 16'h0;
3878 parameter [15:0] INIT_50 = 16'h0;
3879 parameter [15:0] INIT_51 = 16'h0;
3880 parameter [15:0] INIT_52 = 16'h0;
3881 parameter [15:0] INIT_53 = 16'h0;
3882 parameter [15:0] INIT_54 = 16'h0;
3883 parameter [15:0] INIT_55 = 16'h0;
3884 parameter [15:0] INIT_56 = 16'h0;
3885 parameter [15:0] INIT_57 = 16'h0;
3886 parameter [15:0] INIT_58 = 16'h0;
3887 parameter [15:0] INIT_59 = 16'h0;
3888 parameter [15:0] INIT_5A = 16'h0;
3889 parameter [15:0] INIT_5B = 16'h0;
3890 parameter [15:0] INIT_5C = 16'h0;
3891 parameter [15:0] INIT_5D = 16'h0;
3892 parameter [15:0] INIT_5E = 16'h0;
3893 parameter [15:0] INIT_5F = 16'h0;
3894 parameter IS_CONVSTCLK_INVERTED = 1'b0;
3895 parameter IS_DCLK_INVERTED = 1'b0;
3896 parameter SIM_DEVICE = "7SERIES";
3897 parameter SIM_MONITOR_FILE = "design.txt";
3898 endmodule
3899