btor backend: add option to not include internal names
[yosys.git] / techlibs / xilinx / xc6s_ff_map.v
1 /*
2 * yosys -- Yosys Open SYnthesis Suite
3 *
4 * Copyright (C) 2012 Clifford Wolf <clifford@clifford.at>
5 *
6 * Permission to use, copy, modify, and/or distribute this software for any
7 * purpose with or without fee is hereby granted, provided that the above
8 * copyright notice and this permission notice appear in all copies.
9 *
10 * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
11 * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
12 * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
13 * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
14 * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
15 * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
16 * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
17 *
18 */
19
20 // ============================================================================
21 // FF mapping for Spartan 6. The primitives used are the same as Series 7,
22 // but with one major difference: the initial value is implied by the
23 // primitive type used (FFs with reset pin must have INIT set to 0 or x, FFs
24 // with set pin must have INIT set to 1 or x). For Yosys primitives without
25 // set/reset, this means we have to pick the primitive type based on the INIT
26 // value.
27
28 `ifndef _NO_FFS
29
30 // No reset.
31
32 module \$_DFF_N_ (input D, C, output Q);
33 parameter [0:0] _TECHMAP_WIREINIT_Q_ = 1'bx;
34 generate if (_TECHMAP_WIREINIT_Q_ === 1'b1)
35 FDSE_1 #(.INIT(_TECHMAP_WIREINIT_Q_)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .S(1'b0));
36 else
37 FDRE_1 #(.INIT(_TECHMAP_WIREINIT_Q_)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .R(1'b0));
38 endgenerate
39 wire _TECHMAP_REMOVEINIT_Q_ = 1;
40 endmodule
41 module \$_DFF_P_ (input D, C, output Q);
42 parameter [0:0] _TECHMAP_WIREINIT_Q_ = 1'bx;
43 generate if (_TECHMAP_WIREINIT_Q_ === 1'b1)
44 FDSE #(.INIT(_TECHMAP_WIREINIT_Q_)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .S(1'b0));
45 else
46 FDRE #(.INIT(_TECHMAP_WIREINIT_Q_)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .R(1'b0));
47 endgenerate
48 wire _TECHMAP_REMOVEINIT_Q_ = 1;
49 endmodule
50
51 // No reset, enable.
52
53 module \$_DFFE_NP_ (input D, C, E, output Q);
54 parameter [0:0] _TECHMAP_WIREINIT_Q_ = 1'bx;
55 generate if (_TECHMAP_WIREINIT_Q_ === 1'b1)
56 FDSE_1 #(.INIT(_TECHMAP_WIREINIT_Q_)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(E), .S(1'b0));
57 else
58 FDRE_1 #(.INIT(_TECHMAP_WIREINIT_Q_)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(E), .R(1'b0));
59 endgenerate
60 wire _TECHMAP_REMOVEINIT_Q_ = 1;
61 endmodule
62 module \$_DFFE_PP_ (input D, C, E, output Q);
63 parameter [0:0] _TECHMAP_WIREINIT_Q_ = 1'bx;
64 generate if (_TECHMAP_WIREINIT_Q_ === 1'b1)
65 FDSE #(.INIT(_TECHMAP_WIREINIT_Q_)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(E), .S(1'b0));
66 else
67 FDRE #(.INIT(_TECHMAP_WIREINIT_Q_)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(E), .R(1'b0));
68 endgenerate
69 wire _TECHMAP_REMOVEINIT_Q_ = 1;
70 endmodule
71
72 // Async reset.
73
74 module \$_DFF_NP0_ (input D, C, R, output Q);
75 parameter [0:0] _TECHMAP_WIREINIT_Q_ = 1'bx;
76 generate if (_TECHMAP_WIREINIT_Q_ === 1'b1)
77 $error("Spartan 6 doesn't support FFs with asynchronous reset initialized to 1");
78 else
79 FDCE_1 #(.INIT(_TECHMAP_WIREINIT_Q_)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .CLR( R));
80 endgenerate
81 wire _TECHMAP_REMOVEINIT_Q_ = 1;
82 endmodule
83 module \$_DFF_PP0_ (input D, C, R, output Q);
84 parameter [0:0] _TECHMAP_WIREINIT_Q_ = 1'bx;
85 generate if (_TECHMAP_WIREINIT_Q_ === 1'b1)
86 $error("Spartan 6 doesn't support FFs with asynchronous reset initialized to 1");
87 else
88 FDCE #(.INIT(_TECHMAP_WIREINIT_Q_)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .CLR( R));
89 endgenerate
90 wire _TECHMAP_REMOVEINIT_Q_ = 1;
91 endmodule
92
93 module \$_DFF_NP1_ (input D, C, R, output Q);
94 parameter [0:0] _TECHMAP_WIREINIT_Q_ = 1'bx;
95 generate if (_TECHMAP_WIREINIT_Q_ === 1'b0)
96 $error("Spartan 6 doesn't support FFs with asynchronous set initialized to 0");
97 else
98 FDPE_1 #(.INIT(_TECHMAP_WIREINIT_Q_)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .PRE( R));
99 endgenerate
100 wire _TECHMAP_REMOVEINIT_Q_ = 1;
101 endmodule
102 module \$_DFF_PP1_ (input D, C, R, output Q);
103 parameter [0:0] _TECHMAP_WIREINIT_Q_ = 1'bx;
104 generate if (_TECHMAP_WIREINIT_Q_ === 1'b0)
105 $error("Spartan 6 doesn't support FFs with asynchronous set initialized to 0");
106 else
107 FDPE #(.INIT(_TECHMAP_WIREINIT_Q_)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .PRE( R));
108 endgenerate
109 wire _TECHMAP_REMOVEINIT_Q_ = 1;
110 endmodule
111
112 // Async reset, enable.
113
114 module \$__DFFE_NP0 (input D, C, E, R, output Q);
115 parameter [0:0] _TECHMAP_WIREINIT_Q_ = 1'bx;
116 generate if (_TECHMAP_WIREINIT_Q_ === 1'b1)
117 $error("Spartan 6 doesn't support FFs with asynchronous reset initialized to 1");
118 else
119 FDCE_1 #(.INIT(_TECHMAP_WIREINIT_Q_)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(E), .CLR( R));
120 endgenerate
121 wire _TECHMAP_REMOVEINIT_Q_ = 1;
122 endmodule
123 module \$__DFFE_PP0 (input D, C, E, R, output Q);
124 parameter [0:0] _TECHMAP_WIREINIT_Q_ = 1'bx;
125 generate if (_TECHMAP_WIREINIT_Q_ === 1'b1)
126 $error("Spartan 6 doesn't support FFs with asynchronous reset initialized to 1");
127 else
128 FDCE #(.INIT(_TECHMAP_WIREINIT_Q_)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(E), .CLR( R));
129 endgenerate
130 wire _TECHMAP_REMOVEINIT_Q_ = 1;
131 endmodule
132
133 module \$__DFFE_NP1 (input D, C, E, R, output Q);
134 parameter [0:0] _TECHMAP_WIREINIT_Q_ = 1'bx;
135 generate if (_TECHMAP_WIREINIT_Q_ === 1'b0)
136 $error("Spartan 6 doesn't support FFs with asynchronous set initialized to 0");
137 else
138 FDPE_1 #(.INIT(_TECHMAP_WIREINIT_Q_)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(E), .PRE( R));
139 endgenerate
140 wire _TECHMAP_REMOVEINIT_Q_ = 1;
141 endmodule
142 module \$__DFFE_PP1 (input D, C, E, R, output Q);
143 parameter [0:0] _TECHMAP_WIREINIT_Q_ = 1'bx;
144 generate if (_TECHMAP_WIREINIT_Q_ === 1'b0)
145 $error("Spartan 6 doesn't support FFs with asynchronous set initialized to 0");
146 else
147 FDPE #(.INIT(_TECHMAP_WIREINIT_Q_)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(E), .PRE( R));
148 endgenerate
149 wire _TECHMAP_REMOVEINIT_Q_ = 1;
150 endmodule
151
152 // Sync reset.
153
154 module \$__DFFS_NP0_ (input D, C, R, output Q);
155 parameter [0:0] _TECHMAP_WIREINIT_Q_ = 1'bx;
156 generate if (_TECHMAP_WIREINIT_Q_ === 1'b1)
157 $error("Spartan 6 doesn't support FFs with reset initialized to 1");
158 else
159 FDRE_1 #(.INIT(_TECHMAP_WIREINIT_Q_)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .R( R));
160 endgenerate
161 wire _TECHMAP_REMOVEINIT_Q_ = 1;
162 endmodule
163 module \$__DFFS_PP0_ (input D, C, R, output Q);
164 parameter [0:0] _TECHMAP_WIREINIT_Q_ = 1'bx;
165 generate if (_TECHMAP_WIREINIT_Q_ === 1'b1)
166 $error("Spartan 6 doesn't support FFs with reset initialized to 1");
167 else
168 FDRE #(.INIT(_TECHMAP_WIREINIT_Q_)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .R( R));
169 endgenerate
170 wire _TECHMAP_REMOVEINIT_Q_ = 1;
171 endmodule
172
173 module \$__DFFS_NP1_ (input D, C, R, output Q);
174 parameter [0:0] _TECHMAP_WIREINIT_Q_ = 1'bx;
175 generate if (_TECHMAP_WIREINIT_Q_ === 1'b0)
176 $error("Spartan 6 doesn't support FFs with set initialized to 0");
177 else
178 FDSE_1 #(.INIT(_TECHMAP_WIREINIT_Q_)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .S( R));
179 endgenerate
180 wire _TECHMAP_REMOVEINIT_Q_ = 1;
181 endmodule
182 module \$__DFFS_PP1_ (input D, C, R, output Q);
183 parameter [0:0] _TECHMAP_WIREINIT_Q_ = 1'bx;
184 generate if (_TECHMAP_WIREINIT_Q_ === 1'b0)
185 $error("Spartan 6 doesn't support FFs with set initialized to 0");
186 else
187 FDSE #(.INIT(_TECHMAP_WIREINIT_Q_)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .S( R));
188 endgenerate
189 wire _TECHMAP_REMOVEINIT_Q_ = 1;
190 endmodule
191
192 // Sync reset, enable.
193
194 module \$__DFFSE_NP0 (input D, C, E, R, output Q);
195 parameter [0:0] _TECHMAP_WIREINIT_Q_ = 1'bx;
196 generate if (_TECHMAP_WIREINIT_Q_ === 1'b1)
197 $error("Spartan 6 doesn't support FFs with reset initialized to 1");
198 else
199 FDRE_1 #(.INIT(_TECHMAP_WIREINIT_Q_)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(E), .R( R));
200 endgenerate
201 wire _TECHMAP_REMOVEINIT_Q_ = 1;
202 endmodule
203 module \$__DFFSE_PP0 (input D, C, E, R, output Q);
204 parameter [0:0] _TECHMAP_WIREINIT_Q_ = 1'bx;
205 generate if (_TECHMAP_WIREINIT_Q_ === 1'b1)
206 $error("Spartan 6 doesn't support FFs with reset initialized to 1");
207 else
208 FDRE #(.INIT(_TECHMAP_WIREINIT_Q_)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(E), .R( R));
209 endgenerate
210 wire _TECHMAP_REMOVEINIT_Q_ = 1;
211 endmodule
212
213 module \$__DFFSE_NP1 (input D, C, E, R, output Q);
214 parameter [0:0] _TECHMAP_WIREINIT_Q_ = 1'bx;
215 generate if (_TECHMAP_WIREINIT_Q_ === 1'b0)
216 $error("Spartan 6 doesn't support FFs with set initialized to 0");
217 else
218 FDSE_1 #(.INIT(_TECHMAP_WIREINIT_Q_)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(E), .S( R));
219 endgenerate
220 wire _TECHMAP_REMOVEINIT_Q_ = 1;
221 endmodule
222 module \$__DFFSE_PP1 (input D, C, E, R, output Q);
223 parameter [0:0] _TECHMAP_WIREINIT_Q_ = 1'bx;
224 generate if (_TECHMAP_WIREINIT_Q_ === 1'b0)
225 $error("Spartan 6 doesn't support FFs with set initialized to 0");
226 else
227 FDSE #(.INIT(_TECHMAP_WIREINIT_Q_)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(E), .S( R));
228 endgenerate
229 wire _TECHMAP_REMOVEINIT_Q_ = 1;
230 endmodule
231
232 // Latches (no reset).
233
234 module \$_DLATCH_N_ (input E, D, output Q);
235 parameter _TECHMAP_WIREINIT_Q_ = 1'bx;
236 generate if (_TECHMAP_WIREINIT_Q_ === 1'b1)
237 LDPE #(.INIT(_TECHMAP_WIREINIT_Q_), .IS_G_INVERTED(1'b1)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .G(E), .GE(1'b1), .PRE(1'b0));
238 else
239 LDCE #(.INIT(_TECHMAP_WIREINIT_Q_), .IS_G_INVERTED(1'b1)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .G(E), .GE(1'b1), .CLR(1'b0));
240 endgenerate
241 wire _TECHMAP_REMOVEINIT_Q_ = 1;
242 endmodule
243 module \$_DLATCH_P_ (input E, D, output Q);
244 parameter _TECHMAP_WIREINIT_Q_ = 1'bx;
245 generate if (_TECHMAP_WIREINIT_Q_ === 1'b1)
246 LDPE #(.INIT(_TECHMAP_WIREINIT_Q_)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .G(E), .GE(1'b1), .PRE(1'b0));
247 else
248 LDCE #(.INIT(_TECHMAP_WIREINIT_Q_)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .G(E), .GE(1'b1), .CLR(1'b0));
249 endgenerate
250 wire _TECHMAP_REMOVEINIT_Q_ = 1;
251 endmodule
252
253 // Latches with reset (TODO).
254
255 `endif
256