reformat wand/wor test
[yosys.git] / tests / aiger / and.aag
1 aag 3 2 0 1 1
2 2
3 4
4 6
5 6 2 4