reformat wand/wor test
[yosys.git] / tests / aiger / and.aig
1 aig 3 2 0 1 1
2 6
3 \ 2\ 2