Merge remote-tracking branch 'origin/master' into xc7mux
[yosys.git] / tests / aiger / and_.aag
1 aag 3 2 0 1 1
2 2
3 4
4 6
5 6 2 4
6 i0 pi0
7 i1 pi1
8 o0 po0