sv: support declaration in generate for initialization
[yosys.git] / tests / aiger / inverter.aig
1 aig 1 1 0 1 0
2 3
3 i0 pi0
4 o0 po0