reformat wand/wor test
[yosys.git] / tests / aiger / or.aag
1 aag 3 2 0 1 1
2 2
3 4
4 7
5 6 3 5