reformat wand/wor test
[yosys.git] / tests / aiger / or.aig
1 aig 3 2 0 1 1
2 7
3 \ 1\ 2