Add ability to override verilog mode for verific -f command
[yosys.git] / tests / aiger / toggle-re.aag
1 aag 7 2 1 2 4
2 2
3 4
4 6 8
5 6
6 7
7 8 4 10
8 10 13 15
9 12 2 6
10 14 3 7
11 i0 enable
12 i1 reset
13 o0 Q
14 o1 !Q