reformat wand/wor test
[yosys.git] / tests / aiger / true.aag
1 aag 0 0 0 1 0
2 1