Adding a note (TODO) in the memory_params.ys check file
[yosys.git] / tests / asicworld / README
1 Borrowed Verilog examples from http://www.asic-world.com/.