Merge pull request #1073 from whitequark/ecp5-diamond-iob
[yosys.git] / tests / asicworld / code_tidbits_wire_example.v
1 module wire_example( a, b, y);
2 input a, b;
3 output y;
4
5 wire a, b, y;
6
7 assign y = a & b;
8
9 endmodule