Merge pull request #1143 from YosysHQ/clifford/fix1135
[yosys.git] / tests / asicworld / code_verilog_tutorial_multiply.v
1 module muliply (a,product);
2 input [3:0] a;
3 output [4:0] product;
4 wire [4:0] product;
5
6 assign product = a << 1;
7
8 endmodule