sv: support declaration in generate for initialization
[yosys.git] / tests / asicworld / code_verilog_tutorial_simple_if.v
1 module simple_if();
2
3 reg latch;
4 wire enable,din;
5
6 always @ (enable or din)
7 if (enable) begin
8 latch <= din;
9 end
10
11 endmodule