Merge pull request #1143 from YosysHQ/clifford/fix1135
[yosys.git] / tests / asicworld / code_verilog_tutorial_tri_buf.v
1 module tri_buf (a,b,enable);
2 input a;
3 output b;
4 input enable;
5 wire b;
6
7 assign b = (enable) ? a : 1'bz;
8
9 endmodule