Move muxpack from passes/techmap to passes/opt
[yosys.git] / tests / errors / syntax_err01.v
1 module a;
2 integer [31:0]w;
3 endmodule
4