Rename the generic "Syntax error" message from the Verilog/SystemVerilog parser into...
[yosys.git] / tests / errors / syntax_err03.v
1 module a;
2 task to (
3 input [3]x
4 );
5 endtask
6 endmodule
7