Add opt_rmdff tests
[yosys.git] / tests / errors / syntax_err05.v
1 module a;
2 input x[2:0];
3 endmodule
4