Move muxpack from passes/techmap to passes/opt
[yosys.git] / tests / errors / syntax_err08.v
1 module a;
2 wire [5:0]x;
3 wire [3:0]y;
4 assign y = x 55;
5 endmodule
6