Merge pull request #1143 from YosysHQ/clifford/fix1135
[yosys.git] / tests / errors / syntax_err09.v
1 module a(input wire x = 1'b0);
2 endmodule
3