Merge remote-tracking branch 'origin/master' into xc7mux
[yosys.git] / tests / errors / syntax_err12.v
1 interface iface;
2 endinterface
3
4 module a (
5 iface x = 1'b0
6 );
7 endmodule