b96e05a2d26d29aa93807af3e3150acde888e933
[yosys.git] / tests / hana / test_simulation_inc_2_test.v
1 module test(input [1:0] in, output [1:0] out);
2
3 assign out = -in;
4
5 endmodule